MAX+plus II和Quartus II套用與開發技巧

MAX+plus II和Quartus II套用與開發技巧

《MAX+plusII和QuartusII套用與開發技巧》是2007年機械工業出版社出版的圖書,作者是王輝等。

基本介紹

  • 作者:王輝等編著
  • ISBN:9787111207269
  • 頁數:317
  • 定價:33.00元
  • 出版社:機械工業
  • 出版時間:2007-3
內容介紹,作品目錄,

內容介紹

MAX+plus Ⅱ和Quartus Ⅱ是Altera公司提供的可程式邏輯器件感嘆具,理解並掌握它們就可以利用Altera公司的可程式邏輯器件開發出符合要求的數字系統。
本書從實用的角度出發,全面系統地介紹了Altera公司的可編輯邏輯器件及MAX+plus Ⅱ和Quartus Ⅱ開發軟體。全書包含3部分:第1部分介紹了CPLD和FPGA的基本結構,在此基礎上介紹了Altera公司各個系列的CPLD和FPGA;第2部分通過幾個實例全面系統地介紹了MAX+plus Ⅱ軟體的功能特點和使用方法;第3部分通過一個較複雜的實例詳細介紹了Quartus Ⅱ軟體的功能特點和使用方法。
本書可作為廣大從事硬體電路工作的技術人員學習和掌握Altera公司各系列CPLD和FPGA以及MAX+plus Ⅱ和Quartus Ⅱ的實用參考書,也可作為高等院校電子信息與通信等相關專業師生的參考教材。

作品目錄

叢書序前言第1章 可程式邏輯器件 1.1專用積體電路 1.2PLD發展概述 1.3CPLD/FPGA的基本結構 1.3.1CPLD的基本結構 1. 3.2FPGA的基本結構 1.3.3CPLD和FPGA的異同 1.4 Altera公司CPLD/FPGA介紹 1.4.1Classc系列 1.4.2MAX系列 1.4.3FLEX系列 1. 4.4ACEX系列 1.4.5Cyclone系列 1. 4.6APEX系列 1.4.7Stratx系列 l. 5小結第2章 MAX+plusⅡ概述 2.1MAX+plus的特點 2.2MAx+plus的運行環境 2.3MAX+plus的安裝和卸載 2. 4MAX+plus的設計流程 2.5入門實例第3章 設計輸入 3.1圖形輸入 3.1.1原理圖設計 3.1.2符號編輯 3.2文本輸入設計 3. 3波形輸入設計 3. 4混合輸入設計 3.4.1創建頂層圖形設計檔案 3.4.2保存並檢查項目 3.4.3查看項目的層次結構 3.5設計輸入規則第4章 設計進階 4.1MAX+plus的環境設定 4.2MAX+plus的檔案系統 4.3老式宏函式 4. 4使用LPM參數化模組庫 4.4.1使用LPM庫模組 4.4.2使用LPM庫模組的優點 4.4.3LPM庫模組列表 4.5使用MegaWzard Hug-n Manager 4.6 Altera器件支持的P核第5章 項目編譯 5.1編譯過程概述 5.2器件選擇 5.2.1器件系列和晶片命名 5.2.2器件設定 5. 3器件引腳分配 5.3.1平面圖編輯器 5.3.2分配引腳 5.4其他的資源分配及設定選項 5.4.1Pin/Locafon/Chp選項 5. 4.2定時要求選項 5.4. 3Clque選項 5.4.4邏輯選項 5.4.5探針選項 5.4.6Connected Pns選項 5.4.7Local Routng選項 5.5全局項目設定 5.5.1全局項目器件選擇項 5.5.2全局項目參數 5.5. 3全局項目定時要求 5.5.4全局項目邏輯綜合 5.5.5忽略項目設定 5.5.6清除項目設定 5.5.7反向標註 5.5.8Convert Obsolete AssgnmentFormat 5.5.9編譯頂層設計檔案及查看編譯結果 5.6啟用設計醫生工具 5.6.1設計規則的設定 5.6.2編譯設計檔案 5.7其他編譯處理選項 5.7.1功能仿真網表提取 5.7.2適配規則設定 5.7.3報告檔案的設定及查看 5.7.4再編譯方式的選擇 5.8MAX+plus與其他EDA工具的接口 第6章 項目校驗 6.1時序仿真 6.1.1底層模組單獨仿真 6.1.2修改設計後再仿真 6.1. 3頂層設計仿真 6.2定時分析 6.2.1傳播延遲分析 6.2.2建立和保持時間分析 6.2. 3時序邏輯電路性能分析 第7章 器件編程與配置 7.1編程硬體 7.2編程或配置模式 7. 3驅動程式安裝 7.4編程或配置檔案 7.5MAX+plus編程操作 第8章 QuarltlsⅡ概述 8.1Quartus的特點 8.2Quartus 的系統配置和安裝 8.2.1Quartus 的系統配置 8.2.2Quartus 的安裝及卸載 8.3Quartus界面概覽 8. 3.1標題欄 8.3.2選單欄 8.3.3工具列 8.3.4資源管理區 8.3.5工程工作區 8.3.6編譯狀態顯示窗 8.3.7信息顯示窗 8.4Quartus的設計流程 8.4.1圖形用戶界面設計 8.4.2命令行設計第9章 QuartusⅡ設計實例 9.1實例設計說明 9.1.1 FFO的功能 9.1.2 FFO的結構 9.1.3 FFO的實現方法 9.1.4模組設計規劃 9.2建立工程 9.2.1相關說明 9.2.2操作實例 9.3使用Altera宏功能 9.3.1宏功能模組概念 9.3.2MegaWzard管理器的使用 9.3.3操作實例 9.4建立設計輸入檔案 9.4.1設計輸入方式 9.4.2操作實例 9.5分析綜合 9.5.1綜合控制選項 9.5.2操作實例 9.6布局布線 9.6.1布局布線參數選項 9.6.2操作實例 9.7建立約束重編譯 9.7.1時序約束參數設定 9.7.2Assgnment Edtor 工具的使用 9.7.3Pn Planner:工具的使用 9.7.4操作實例 9.8編程及配置 9.8.1配置方式 9.8.2編程配置檔案 9.8.3操作實例第10章 QuartusⅡ輔助工具 10.1原理圖觀察工具 10.1.1RTL Vewer 10.1.2Technology Map Vewer 10.2Timing Closure Floorplan 10.2.1功能簡介 10.2.2界面說明 10.3底層編輯器Chp Edtor 10.3.1功能簡介 10.3.2界面視圖說明 10.3.3資源特性編輯器 10.4最佳化建議工具 10.4.1Resource Optmzpton Advsor 10.4.2Tmng Optmzaton Advsor 10.5調試工具 10.5.1SgnalTap Logc Analyzer 10.5.2SgnalProbe 10.5.3In-System Memory Content Edtor參考文獻

相關詞條

熱門詞條

聯絡我們