《數字系統設計綜合教程》是2021年電子工業出版社出版的圖書。
基本介紹
- 中文名:數字系統設計綜合教程
- 作者:傅越千
- 類別:教材
- 出版社:電子工業出版社
- 出版時間:2021年
- 開本:16 開
- ISBN:9787121411618
《數字系統設計綜合教程》是2021年電子工業出版社出版的圖書。
《數字系統設計綜合教程》是2021年電子工業出版社出版的圖書。...
《高等學校通用教材:Verilog數字系統設計教程》是北京航空航天大學出版社出版圖書。內容簡介 本書講述了自20世紀90年代開始在美國和其他先進的工業國家逐步推廣的利用硬體描述語言(Verilog HDL)建模、仿真和綜合的設計複雜數字邏輯電路與系統...
《Verilog數字系統設計教程(第3版)》講述利用硬體描述語言(Verilog HDL)設計複雜數字系統的方法。這種方法源自20世紀90年代的美國,取得成效後迅速在其他先進工業國得到推廣和普及。利用硬體描述語言建模、通過仿真和綜合技術設計出極其複雜...
《數字系統設計實驗教程》是2011年東南大學出版社出版的圖書,作者是李桂林。編輯推薦 李桂林主編的這本《數字系統設計綜合實驗教程》是與教材《數字系統設計》相配套的試驗教材。全書總計7章,內容包括:緒論、基礎實驗、綜合設計實驗、課程...
《數字系統設計實驗教程(第二版)》是2018年科學出版社出版的圖書,作者是屈民軍、唐奕、馬洪慶。內容簡介 本書是電子類專業核心課程的教材之一,由多年從事數字電子技術理論和實驗教學的教師合作完成。書中以Xilinx 公司的Vivado FPGA設計...
《VHDL嵌入式數字系統設計教程》是2011年北京航空航天大學出版社出版的圖書,作者是阿申登。本書重點講解基於硬體描述語言(HDL)的設計和驗證。全書列舉了大量的VHDL例子,通過把數字邏輯作為嵌入式系統設定的一部分進行講解,有效地加深讀者對...
《數字系統課程設計指導教程》是2016年電子工業出版社出版的圖書,作者是牛小燕、李芸。內容簡介 本書主要內容包括:數字系統設計概述,常用電子元器件、電路板簡介與選擇、焊接與調試技術;基本課程設計項目;EDA工具簡介;Verilog HDL語言;...
《Verilog HDL與數字系統設計簡明教程》是人民郵電出版社出版的圖書,作者是吳戈。內容簡介 本書分3部分,第1部分(第1~6章)是語法部分,詳細講解Verilog HDL語法知識和基本套用;第2部分(第7~9章)是實例部分,通過從已公布的成熟源...
數字系統設計入門教程 《數字系統設計入門教程》是科學出版社出版的圖書,作者是Uyemura 內容簡介 《數字系統設計入門教程》介紹了數字系統的概念、組合邏輯設計、數字硬體、超大規模積體電路的設計等。
以項目為載體,融理論知識於項目設計中 教學中以數字電路設計為基點,從項目的介紹中引出VHDL語句語法內容。在典型示例的說明中,自然地給出完整的VHDL描述,同時給出其綜合後表現該電路系統功能的時序波形圖。通過一些簡單、直觀、典型的...
《FPGA數字系統設計》是電子工業出版社出版的圖書,作者是王建民,樓建明,袁紅星。內容簡介 本書針對數字系統設計和工程開發的要求與特點,按照數字系統的整體結構,通過由淺入深的設計實例,採用Verilog HDL對FPGA數字系統設計流程、關鍵...
本書主要闡述了數字系統設計方法和可程式邏輯器件的套用,較系統地介紹了PLD器件、HDL設計語言、流行的EDA設計軟體和數字系統設計方法等內容,力求涵蓋數字系統開發設計所涉及到的主要方面,並在內容上進行了精心編排,以著眼於綜合開發能力的...
《數字系統設計》是2004年1月科學出版社出版的圖書,作者是豬飼國夫。內容簡介 《數字系統設計》結合大量例題與圖示,以數位技術的思維方法作為主體進行了論述,並從實踐角度出發,對數位技術實際套用方法進行詳細介紹。其中包括數字電路基礎、...
《數字系統設計(Verilog &VHDL版)(第二版)(英文版)》以微處理器系統作為複雜數字邏輯系統的代表,在簡要介紹其工作原理的基礎上,以CPU硬體結構框圖為線索貫穿各個章節,詳細講述了如何構建基本組合/時序邏輯元件、如何利用已有元件...
《數字系統設計與Verilog HDL(第6版)》是2016年電子工業出版社出版的圖書,作者是王金明。內容簡介 全書以Quartus Prime、Synplify Pro軟體為平台,以Verilog—1995和Verilog—2001語言標準為依據,以可綜合的設計為重點,通過大量經過驗證...
全書以Vivado、ModelSim軟體為工具,以Verilog-1995和Verilog-2001語言標準為依據,以可綜合的設計為重點,通過諸多精選設計案例,系統闡述數字系統設計方法與思想,由淺入深地介紹Verilog工程開發的手段與技能。圖書目錄 第1章 EDA技術概述 ...
全書以Vivado、ModelSim軟體為工具,以Verilog-1995和Verilog-2001語言標準為依據,以可綜合的設計為重點,通過諸多精選設計案例,系統闡述數字系統設計方法與思想,由淺入深地介紹Verilog工程開發的手段與技能。圖書目錄 第1章 EDA技術概述1...
全書以QuartusⅡ、SynplifyPro軟體為平台,以Verilog—1995和Verilog—2001語言標準為依據,以可綜合的設計為重點,通過大量經過驗證的數字設計實例,系統闡述數字系統設計的方法與技術,由淺入深地介紹Verilog工程開發的知識與技能。圖書目錄 ...
《數字系統電子自動化設計教程:CPLD原理與套用》主要內容:適應電子系統設計技術的發展,培養套用型、創新型、綜合型、可參與市場競爭的電子技術人才,提高學生綜合套用數字系統理論、可程式邏輯器件和計算機等先進設備及技術的能力,編寫了本...
為了適應電子系統設計技術的發展,培養套用型、創新型、綜合型、可參與市場競爭的電子技術人才,提高學生綜合套用數字系統理論、可程式邏輯器件和計算機等先進設備及技術的能力,編寫了本教材。本教材既可以作為高年級本科生和研究生數字系統...
《數字系統設計(Verilog & VHDL版)(第二版)(英文版)》是2018年1月電子工業出版社出版的圖書,作者是閻波、朱曉章、姚毅。內容簡介 隨著微電子技術與計算機技術的飛速發展,以及先進的電子設計自動化(EDA)技術及現場可程式門陣列(...
進階篇共3章,深入介紹了仿真、綜合、數字系統設計方法。書中最後展示了2個工程實例的設計方法。 本書內容全面,層次遞進,系統性強,結合暫存器傳輸級描述對語法及模組電路進行詳細講解,可以幫助於初學者的快速入門,同時配合編者自主開發...
全書從基本概念講起,並逐漸過渡到程式語言接口以及邏輯綜合等高級主題。書中的內容全部符合Verilog HDL IEEE 1364-2001標準。本書適合電子、計算機、自動控制等專業的學習數字電路設計的大學本科高年級學生閱讀,也適合數字系統設計工程師和...
6.4 常用系統函式和系統任務 6.5 連線埠連線規則 6.6 小結 習題6 第7章 Verilog HDL可綜合設計舉例 7.1 跑馬燈控制器的設計 7.2 8位數碼掃描顯示電路的設計 7.3 數控分頻器的設計 7.4 樂曲硬體演奏電路的設計 7.5 數字跑表...
1.2.5複雜系統的算法級設計 1.3數字系統設計的描述方法 1.3.1原理圖設計 1.3.2程式設計法 1.3.3IP模組的使用 1.3.4基於模型的設計技術 1.3.5高層次綜合——HLS設計 1.3.6腳本設計技術 1.4IP技術 1.4.1IP智慧財產權...
3.4.3 Verilog HDL 程式設計的描述方式 188 3.5 仿真驗證 190 3.6 可綜合性描述 202 3.7 設計實例 206 3.7.1 解碼電路 206 3.7.2 編碼電路 207 3.7.3 數據分配器 208 3.7.4 同步計數器 210 3.7.5 移位暫存器 ...
MarkZwolinski編著的《SystemVerilog數字系統設計》講授用SystemVerilog語言設計/驗證數字系統的基本概念和具體方法。在介紹基本語法的基礎上,闡述了如何用RTL級的SystemVerilog構成可綜合的數字電路/組件/系統,以及如何用行為級的SystemVerilog...
第6~10章為提高篇,深入介紹FPGA的高級設計技術、FPGA的時序約束和時序分析、基於Zynq的SoC嵌入式系統設計,並以CNN手寫數字識別系統為例討論FPGA數字系統設計過程中的實現細節,綜合實驗圍繞人工智慧、多媒體處理和經典數字電路展開。本...
《Verilog HDL數字系統設計及仿真(第2版)》是2018年電子工業出版社出版的圖書,作者是于斌、黃海。內容簡介 Verilog HDL是一種使用廣泛的硬體描述語言,目前在國內無論是積體電路還是嵌入式設計的相關專業都會使用到這種硬體描述語言。市...