EDA技術及套用(第2版)

EDA技術及套用(第2版)

《EDA技術及套用(第2版)》是2013年清華大學出版社出版的圖書,作者是朱正偉、王其紅、韓學超。

基本介紹

  • 書名:EDA技術及套用(第2版)
  • 作者:朱正偉、王其紅、韓學超 
  • ISBN:9787302312604
  • 定價:39.5元
  • 出版社:清華大學出版社
  • 出版時間:2013年3月
  • 裝幀:平裝
內容簡介,圖書目錄,圖書前言,

內容簡介

本教材在編寫時突破傳統課程體系的制約,對課程體系等進行綜合改革,融入了本領域最新的科研與教學改革成果,確保課程的系統性與先進性,使之能更好地適應21世紀人才培養模式的需要。教材的主要特點有: ①創新性。本教材突破傳統的VHDL語言教學模式和流程,將普遍認為較難學習的VHDL用全新的教學理念和編排方式給出,並與EDA工程技術有機結合,達到了良好的教學效果,同時大大縮短了授課時數。全書以數字電路設計為基點,從實例的介紹中引出VHDL語句語法內容,通過一些簡單、直觀、典型的實例,將VHDL中最核心、最基本的內容解釋清楚,使讀者在很短的時間內就能有效地把握VHDL的主幹內容,並付諸設計實踐。②系統性。本教材內容全面,注重基礎,理論聯繫實際,並使用大量圖表說明問題,編寫簡明精練、針對性強,設計實例都通過了編譯,設計檔案和參數選擇都經過驗證,便於讀者對內容的理解和掌握。③實用性。本教材注重實用、講述清楚、由淺入深,書中的實例具有很高的參考價值和實用價值,能夠使讀者掌握較多的實戰技能和經驗。它既可作為高等院校電氣、自動化、計算機、通信、電子類專業的研究生、本科生的教材或參考書,也可供廣大ASIC設計人員和電子電路設計人員閱讀參考。

圖書目錄

第1章EDA技術概述
1.1EDA技術及其發展
1.1.1EDA技術含義
1.1.2EDA技術的發展歷程
1.1.3EDA技術的基本特徵
1.2EDA技術的實現目標與ASIC設計
1.2.1EDA技術的實現目標
1.2.2ASIC的特點與分類
1.2.3ASIC的設計方法
1.2.4IP核復用技術與SOC設計
1.3硬體描述語言
1.3.1VHDL
1.3.2Verilog HDL
1.3.3ABEL?HDL
1.3.4VHDL和Verilog HDL的比較
1.4常用EDA工具
1.4.1設計輸入編輯器
1.4.2綜合器
1.4.3仿真器
1.4.4適配器
1.4.5編程下載
1.5EDA的工程設計流程
1.5.1設計輸入
1.5.2綜合
1.5.3適配
1.5.4時序仿真與功能仿真
1.5.5編程下載
1.5.6硬體測試
1.6MAX+plus Ⅱ集成開發環境
1.6.1MAX+plus Ⅱ簡介
1.6.2軟體的安裝
1.6.3軟體組成
1.6.4設計流程
1.7Quartus Ⅱ集成開發環境
1.7.1Quartus Ⅱ簡介
1.7.2Quartus Ⅱ 9.0軟體的安裝
1.7.3Quartus Ⅱ 9.0圖形用戶界面介紹
1.8EDA技術發展趨勢
思考題與習題
第2章可程式邏輯器件
2.1可程式邏輯器件概述
2.1.1PLD發展歷程
2.1.2目前流行可程式器件的特點
2.1.3可程式邏輯器件的基本結構和分類
2.1.4PLD相對於MCU的優勢所在
2.2CPLD的結構與工作原理
2.2.1CPLD的基本結構
2.2.2Altera公司MAX7000系列CPLD簡介
2.3FPGA的結構與工作原理
2.3.1FPGA的基本結構
2.3.2Cyclone Ⅲ系列器件的結構原理
2.4可程式邏輯器件的測試技術
2.4.1內部邏輯測試
2.4.2JTAG邊界掃描
2.4.3嵌入式邏輯分析儀
2.5CPLD/FPGA的編程與配置
2.5.1CPLD在系統編程
2.5.2FPGA配置方式
2.5.3FPGA專用配置器件
2.5.4使用單片機配置FPGA
2.5.5使用CPLD配置FPGA
2.6CPLD/FPGA開發套用選擇
思考題與習題
第3章原理圖輸入設計方法
3.1原理圖設計方法
3.1.1內附邏輯函式
3.1.2編輯規則
3.1.3原理圖編輯工具
3.1.4原理圖編輯流程
3.1.5設計項目的處理
3.1.6設計項目的校驗
3.1.7器件編程
3.21位全加器設計
3.2.1建立資料夾
3.2.2輸入設計項目和存檔
3.2.3將設計項目設定成工程檔案
3.2.4選擇目標器件並編譯
3.2.5時序仿真
3.2.6引腳鎖定
3.2.7編程下載
3.2.8設計頂層檔案
3.3數字電子鐘設計
3.3.1六十進制計數器設計
3.3.2十二進制計數器設計
3.3.3數字電子鐘頂層電路設計
3.4利用LPM兆功能塊的電路設計
3.4.1常用LPM兆功能塊
3.4.2基於LPM_COUNTER的數據分頻器設計
3.4.3製作一個兆功能模組
3.5波形輸入設計
3.5.1創建波形設計新檔案並指定工程名稱
3.5.2創建輸入、輸出和隱埋節點
3.5.3編輯隱埋狀態機節點波形
3.5.4編輯輸入和輸出節點波形
3.5.5查看波形情況
3.5.6保存檔案並檢查錯誤
3.5.7創建默認的功能模組
思考題與習題
第4章VHDL設計初步
4.1概述
4.1.1常用硬體描述語言簡介
4.1.2VHDL的特點
4.1.3VHDL程式設計約定
4.2VHDL語言的基本單元及其構成
4.2.12選1多路選擇器的VHDL描述
4.2.2VHDL程式的基本結構
4.2.3實體
4.2.4結構體
4.3VHDL文本輸入設計方法初步
4.3.1項目建立與VHDL源檔案輸入
4.3.2將當前設計設定為工程
4.3.3選擇VHDL文本編譯版本號和排錯
4.3.4時序仿真
4.4VHDL程式設計舉例
4.4.1D觸發器的VHDL描述
4.4.21位二進制全加器的VHDL描述
4.4.34位加法計數器的VHDL描述
思考題與習題
第5章VHDL設計進階
5.1VHDL語言要素
5.1.1VHDL文字規則
5.1.2VHDL數據對象
5.1.3VHDL數據類型
5.1.4VHDL操作符
5.2VHDL順序語句
5.2.1賦值語句
5.2.2轉向控制語句
5.2.3WAIT語句
5.2.4子程式調用語句
5.2.5返回語句
5.2.6NULL語句
5.2.7其他語句
5.3VHDL並行語句
5.3.1進程語句
5.3.2並行信號賦值語句
5.3.3塊語句
5.3.4並行過程調用語句
5.3.5元件例化語句
5.3.6生成語句
5.4子程式
5.4.1函式
5.4.2重載函式
5.4.3過程
5.4.4重載過程
5.5庫、程式包及其配置
5.5.1庫
5.5.2程式包
5.5.3配置
5.6VHDL描述風格
5.6.1行為描述
5.6.2數據流描述
5.6.3結構描述
5.7常用單元的設計舉例
5.7.1組合邏輯電路設計
5.7.2時序邏輯電路設計
5.8VHDL與原理圖混合設計方式
5.8.14位二進制計數器的VHDL設計
5.8.2七段顯示解碼器的VHDL設計
5.8.3頂層檔案原理圖設計
5.8.4查看工程的層次結構
思考題與習題
第6章有限狀態機設計
6.1概述
6.1.1關於狀態機
6.1.2狀態機的特點
6.1.3狀態機的基本結構和功能
6.2一般有限狀態機的設計
6.2.1一般有限狀態機的組成
6.2.2設計實例
6.3Moore型狀態機的設計
6.3.1多進程Moore型有限狀態機
6.3.2用時鐘同步輸出的Moore型有限狀態機
6.4Mealy型有限狀態機的設計
6.4.1多進程Mealy型有限狀態機
6.4.2用時鐘同步輸出信號的Mealy型狀態機
6.5狀態編碼
6.5.1狀態位直接輸出型編碼
6.5.2順序編碼
6.5.3一位熱碼編碼
6.6狀態機剩餘狀態處理
思考題與習題
第7章Quartus Ⅱ工具套用初步
7.1Quartus Ⅱ一般設計流程
7.2Quartus Ⅱ設計實例
7.2.1實例設計說明
7.2.2模組的層次劃分
7.2.3創建工程
7.2.4建立設計輸入檔案
7.2.5分析綜合
7.2.6布局布線
7.2.7建立約束重編譯
7.2.8仿真
7.2.9編程及配置
7.2.10SignalTap Ⅱ邏輯分析儀實時測試
第8章數字電子系統設計實踐
8.1移位相加8位硬體乘法器設計
8.1.1硬體乘法器的功能
8.1.2硬體乘法器的設計思路
8.1.3硬體乘法器的設計
8.1.4硬體乘法器的波形仿真
8.2十字路口交通管理器設計
8.2.1交通管理器的功能
8.2.2交通管理器的設計思路
8.2.3交通管理器的設計
8.2.4交通管理器的波形仿真
8.3可程式定時/計數器設計
8.3.1可程式定時/計數器的功能
8.3.2可程式定時/計數器的設計思路
8.3.3可程式定時/計數器的設計
8.3.4可程式定時/計數器的波形仿真
8.4智慧型函式發生器設計
8.4.1智慧型函式發生器的功能
8.4.2智慧型函式發生器的設計思路
8.4.3智慧型函式發生器各模組設計
8.4.4智慧型函式發生器的波形仿真
8.5數據採集系統設計
8.5.1數據採集系統的功能
8.5.2數據採集系統的設計思路
8.5.3數據採集系統各模組設計
8.5.4數據採集系統的波形仿真
8.6桌球遊戲機設計
8.6.1桌球遊戲機的功能
8.6.2桌球遊戲機的設計思路
8.6.3桌球遊戲機各模組設計
8.6.4桌球遊戲機的波形仿真
8.7數字頻率計設計
8.7.1數字頻率計的功能
8.7.2數字頻率計的設計思路
8.7.3數字頻率計各模組的設計和實現
8.7.4數字頻率計的綜合設計
8.7.5數字頻率計的波形仿真
8.83層電梯控制器設計
8.8.13層電梯控制器的功能
8.8.23層電梯控制器的設計思路
8.8.33層電梯控制器的綜合設計
8.8.43層電梯控制器的波形仿真
8.9計算器設計
8.9.1計算器的功能
8.9.2計算器的設計思路
8.9.3計算器各模組的設計和實現
8.9.4計算器的綜合設計
8.9.5計算器的波形仿真
8.10健身遊戲機設計
8.10.1健身遊戲機的功能
8.10.2健身遊戲機的設計思路
8.10.3健身遊戲機的綜合設計
8.10.4健身遊戲機的波形仿真
8.11CRC校驗設計
8.11.1CRC校驗編碼原理
8.11.2CRC校驗設計實例
8.12線性時不變FIR濾波器設計
8.12.1線性時不變濾波器原理
8.12.2線性時不變濾波器設計流程
8.12.3線性時不變濾波器設計實例
參考文獻

圖書前言

EDA(Electronic Design Automation,電子設計自動化)技術是現代電子工程領域的一門新技術,它提供了基於計算機和信息技術的電路系統設計方法。EDA技術的發展和推廣套用極大地推動了電子工業的發展。隨著EDA技術的發展,硬體電子電路的設計幾乎全部可以依靠計算機來完成,這樣就大大縮短了硬體電子電路設計的周期,從而使製造商可以迅速開發出品種多、批量小的產品,以滿足市場的需求。EDA教學和產業界的技術推廣是當今世界的一個技術熱點,EDA技術是現代電子工業中不可缺少的一項技術。
本書在《EDA技術及套用》(清華大學出版社,2005年)的基礎上,根據EDA技術的發展,對原書內容總結提高、修改增刪而成。教材修訂時主要做了如下改進工作: ①改寫了第1章和第2章的大部分內容,介紹了EDA技術的最新發展趨勢,增加了一些工程套用方面的知識的介紹。②考慮到EDA工具軟體的發展,專門增加了第7章,通過實例介紹了Quartus Ⅱ 9.0的套用方法,但考慮到部分教學單位可能仍然使用MAX+plus Ⅱ,因此MAX+plus Ⅱ工具軟體的介紹仍然保留。③考慮到EDA技術在通信領域的廣泛套用,在實例介紹時增加EDA技術在通信系統中的套用例子。④重新整理並增刪了部分章節所附的習題,幫助學生加深對課程內容的理解,以使學生在深入掌握課程內容的基礎上擴展知識。
本書共分8章,第1章對EDA技術作了綜述,解釋了有關概念; 第2章介紹PLD器件的發展、分類,CPLD/FPGA器件的結構及特點,以及設計流程等; 第3章介紹了原理圖輸入設計方法; 第4章通過幾個典型的實例介紹了VHDL設計方法; 第5章進一步描述了VHDL語法結構及編程方法; 第6章介紹了狀態機設計方法; 第7章通過實例詳細介紹了基於Quartus Ⅱ 9.0的輸入設計流程,包括設計輸入、綜合、適配、仿真測試和編程下載等方法; 第8章通過12個數字系統設計實踐,進一步介紹了用EDA技術來設計大型複雜數字邏輯電路的方法。本書的所有實例都經過上機調試,許多實例給出了仿真波形,希望對讀者在學習過程中能夠有所幫助。
本書在編寫過程中,引用了諸多學者、專家的著作和論文中的研究成果,在這裡向他們表示衷心的感謝。清華大學出版社的同志也為本書的出版付出了艱辛的勞動,在此一併表示深深的敬意和感謝。
本書由朱正偉教授主編,並編寫第3~5章及第8章部分內容,副主編王其紅教授編寫了第1章、第2章及第8章部分內容,副主編韓學超老師編寫了第7章及第8章部分內容,第6章由張小鳴教授編寫,儲開斌老師參加了部分章節的編寫。
由於EDA技術發展迅速,加之作者水平有限,時間倉促,錯誤和疏漏之處在所難免,敬請各位讀者不吝賜教。
編者2012.11

相關詞條

熱門詞條

聯絡我們