王志華(深圳清華大學研究院室主任)

王志華(深圳清華大學研究院室主任)

本詞條是多義詞,共99+個義項
更多義項 ▼ 收起列表 ▲

王志華畢業於清華大學電子工程系(原無線電電子學系),分別於1983、1985和1990年取得學士、碩士和博士學位。1992年至1993年在美國卡內基梅隆大學(Canegie Mellon University)做博士後進修。1993至1994年在比利時魯汶天主大學(K. U. Leuven)訪問進修。1994年2月在清華大學無線電電子學系任副教授,任線路與系統教研室副主任,1996年在清華大學無線電電子學系任電路與系統教研室主任,1997在清華大學無線電電子學系任教授;2000年9月始任清華大學微電子學研究所副所長、教授。

基本介紹

人物簡介,個人履歷,獲獎記錄,發表論文,所持專利,

人物簡介

王志華畢業於清華大學電子工程系(原無線電電子學系),分別於1983、1985和1990年取得學士、碩士和博士學位。1992年至1993年在美國卡內基梅隆大學(Canegie Mellon University)做博士後進修。1993至1994年在比利時魯汶天主大學(K. U. Leuven)訪問進修。1994年2月在清華大學無線電電子學系任副教授,任線路與系統教研室副主任,1996年在清華大學無線電電子學系任電路與系統教研室主任,1997在清華大學無線電電子學系任教授;2000年9月始任清華大學微電子學研究所副所長、教授。
王志華教授的研究領域包括積體電路與系統設計方法學,醫療和通信類低功耗模擬和射頻積體電路的研究,高速實時信號處理。目前,王志華教授的研究興趣主要集中在CMOS射頻積體電路和生物醫療積體電路套用。他的在研項目包括:RFID、PLL、低功耗無線收發機、智慧型醫療設備中的前沿CMOS射頻積體電路和數字圖像處理技術。

個人履歷

自1999年至2009止,他擔任IEEE固態電路學會北京分會(IEEE Solid-State Circuits Society Beijing Chapter Chair)主席,IEEE高級會員;自2000年至2010擔任國際無線電聯盟(URSI - International Union of Radio Science )中國委員會成員C專業委員會主席;自2005年至2011年,擔任國際固態電路會議(ISSCC International Solid-State Circuits Conference )的技術委員會成員;自2001年起,他擔任北京半導體行業協會副主席;自2002年起,擔任中國通信學會專用積體電路分會副理事長;自2001年起,擔任中國半導體行業積體電路設計分會副秘書長等職務;自2006年至2010年,國家高技術研究發展計畫(863計畫)“十一五”信息領域責任專家;自2008年起,擔任美國電力電子工程師協會(IEEE)《生物醫療電路與系統雜誌》的副主編(IEEE Trans on BioCAS Associate Editor);自2010年起,擔任美國電力電子工程師協會(IEEE)《電路與系統Ⅱ雜誌》的副主編(IEEE Trans on CAS-II, Associate Editor);自2011年起,擔任中國證券監督管理委員會第三屆創業板發行審核委員會委員。2016年11月起,因在醫用電路與微型系統方面的突出貢獻,當選為IEEE Fellow。

獲獎記錄

在二十多年的學術生涯中,王志華教授先後發表了180餘篇學術論文,出版了5本教材和專著,主持和參加了15項科研項目,申報並獲得了34項發明專利。曾獲得多種獎勵:
1987年,獲北京市科技進步二等獎、原電子工業部科技進步三等獎;
1989年,獲北京市科技進步二等獎;
1990年,獲機電部科技進步一等獎;
1991年,獲國家教委科技進步三等獎;
1992年,獲國家科技進步一等獎(1989年-1989年,參加國家計委、國家科委、機械電子工業部聯合組織的國家重點科技攻關項目:ICCAD熊貓系統的開發與實用化,國家科技進步一等獎證書於1994年3月頒發);
?1997年,獲北京市優秀教師;
1999年,獲教育部科技進步教材獎;
2004年,獲北京市教學成果一等獎;
2005年,獲北京市科技進步二等獎(第二代居民身份證專用晶片開發)。

發表論文

[1] Shengjie Guo,Yixin Zhou,Hao Tang,Baojun Mai,Binjie Zhu,Ping Chen,Zhihua Wang, In vitro verification of intelligent measurement system for joint range of motion in total hip arthroplasty based on inertia measurement unit sensor, Chinese Journal of Bone and Joint Injury, Vol.35, No.4, pp. 350 - 352, 2020.
[2] Hui Wu,Zhe Su,Jilin Zhang,Shaojun Wei,Zhihua Wang,Hong Chen, A Design Flow for Click-based Asynchronous Circuits Design with Conventional EDA Tools, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020.
[3] Heng Liu,Chun Zhang,Zhaoyang Weng,Yanshu Guo,Zhihua Wang, Resonance Frequency Readout Circuit for a 900 MHz SAW Device, Sensors, Vol.17, No.9, pp. 2131 - 2151, 2020.
[4] Shaoquan Gao,Hanjun Jiang,Fule Li,Zhihua Wang, A 530 nA quiescent current low-dropout regulator with embedded reference for wake-up receivers, Science China (Information Sciences), Vol.63, pp. 229404:1 - 229404:3, 2020.
[5] Yuwei Zhang,Deng Luo,Ting Ou,Zhangyi Yuan,Heng Huang,Ling You,Yin Yue,Milin Zhang,Dongmei Li, Guolin Li, Kexin Yuan, Zhihua Wang, Design of a Compact Wireless Multi-Channel High Area-Efficient Stimulator with Arbitrary Channel Configuration, Micromachines, Vol.9, No.1, pp. 6 - 19, 2020.
[6] Peilin Yang,Xiao Wang,Chengwei Wang,Fule Li,Hanjun Jiang,Zhihua Wang, A 14-bit 200-Ms/s SHA-Less Pipelined ADC With Aperture Error Reduction, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.28, No.9, pp. 2004 - 2013, 2020.
[7] Zhe Su,Zhihua Wang,Yixin Zhou,Binjie Zhu,Hong Chen, A Wireless Trajectory Measurement System for Unicondylar Knee Arthroplasty, IEEE Transactions on Instrumentation and Measurement, Vol.69, No.12, pp. 9417 - 9426, 2020.
[8] Zipeng Chen,Wei Deng,Haikun Jia,Yibo Liu,Jianxi Wu,Pingda Guan,Jinyu Zhu,Luhong Mao,Zhihua Wang, Baoyong Chi, A U-Band PLL Using Implicit Distributed Resonators for Sub-THz Wireless Transceivers in 40 nm CMOS, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.67, No.9, pp. 1574 - 1578, 2020.
[9] Xiao Wang,Fule Li,Zhihua Wang, A Simple Histogram-based Capacitor Mismatch Calibration in SAR ADCs, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.PP, No.99, pp. 1 - 1, 2020.
[10] Jiahao Zhao,Yining Zhang,Kunnong Zeng,Woogeun Rhee,Zhihua Wang, A 2.4-GHz Crystal-Less GFSK Receiver Using an Auxiliary Multiphase BBPLL for Digital Output Demodulation With Enhanced Frequency Scaling, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.PP, No.99, pp. 1 - 1, 2020.
[11] Kang Zhao,Hanjun Jiang,Zhihua Wang,Ping Chen,Binjie Zhu,Xianglong Duan, Long-Term Bowel Sound Monitoring and Segmentation by Wearable Devices and Convolutional Neural Networks, IEEE Transactions on Biomedical Circuits and Systems, Vol.14, No.5, pp. 985 - 996, 2020.
[12] Zhuoyi Sun,Yingdan Li,Hanjun Jiang,Fei Chen,Xiang Xie,Zhihua Wang, A Supervised Speech Enhancement Method for Smartphone-Based Binaural Hearing Aids, IEEE Transactions on Biomedical Circuits and Systems, Vol.14, No.5, pp. 951 - 960, 2020.
[13] Deng Luo,Jiaxin Lei,Milin Zhang,Zhihua Wang, Design of a Low Noise Bio-potential Recorder with High Tolerance to Power-Line Interference under 0.8V Power Supply, IEEE Transactions on Biomedical Circuits and Systems, Vol.PP, No.99, pp. 1 - 1, 2020.
[14] Feifei Zhou,Xiangyu Li,Zhihua Wang, Efficient High Cross-User Recognition Rate Ultrasonic Hand Gesture Recognition System, IEEE Sensors Journal, Vol.20, No.22, pp. 13501 - 13510, 2020.
[15] Fasih Ud Din Farrukh,Chun Zhang,Yancao Jiang,Zhonghan Zhang,Ziqiang Wang,Zhihua Wang,Hanjun Jiang, Power Efficient Tiny Yolo CNN Using Reduced Hardware Resources Based on Booth Multiplier and WALLACE Tree Adders, IEEE Open Journal of Circuits and Systems, Vol.1, pp. 76 - 87, 2020.
[16] Haixin Song,Dang Liu,Yining Zhang,Woogeun Rhee,Zhihua Wang, A 6.5–8.1-GHz Communication/Ranging VWB Transceiver for Secure Wireless Connectivity With Enhanced Bandwidth Efficiency and ΔΣ Energy Detection, IEEE Journal of Solid-State Circuits, Vol.55, No.2, pp. 219 - 232, 2020.
[17] Yingdan Li,Fei Chen,Zhuoyi Sun,Junyu Ji,Wen Jia,Zhihua Wang, A Smart Binaural Hearing Aid Architecture Leveraging a Smartphone APP With Deep-Learning Speech Enhancement, IEEE Access, Vol.8, pp. 56798 - 56810, 2020.
[18] Xue Zheng,Chun Zhang,Ping Chen,Kang Zhao,Hanjun Jiang,Zhiwei Jiang,Huafeng Pan,Zhihua Wang,Wen Jia, A CRNN System for Sound Event Detection Based on Gastrointestinal Sound Dataset Collected by Wearable Auscultation Devices, IEEE Access, Vol.8, pp. 157892 - 157905, 2020.
[19] Yingdan Li,Fei Chen,Zhuoyi Sun,Zhaoyang Weng,Xian Tang,Hanjun Jiang,Zhihua Wang, A Smart Binaural Hearing Aid Architecture Based on a Mobile Computing Platform, Electronics, Vol.8, No.7, pp. 811 - 828, 2020.
[20] Xiaohua Huang,Bowen Wang,Woogeun Rhee,Zhihua Wang, A 5.4GHz ΔΣ Bang-Bang PLL with 19dB In-Band Noise Reduction by Using a Nested PLL Filter, VLSI-DAT 2020, pp. 1 - 2, 2020.
[21] Bowen Wang,Woogeun Rhee,Zhihua Wang, A Sub-10fs FOM, 5000x Load Driving Capacity and 5mV Output Ripple Digital LDO with Dual-Mode Nonlinear Voltage Detector and Dead-Zone Charge Pump Loop, RFIC 2020, pp. 315 - 318, 2020.
[22] Zhaoyang Weng,Hanjun Jiang,Yanshu Guo,Zhihua Wang, A 400MHz/900MHz Dual-Band Ultra-Low-Power Digital Transmitter for Biomedical Applications, RFIC 2020, pp. 331 - 334, 2020.
[23] Yuguang Liu,Woogeun Rhee,Zhihua Wang, A 1Mb/s 2.86% EVM GFSK Modulator Based on ΔΣ BB-DPLL without Background Digital Calibration, RFIC 2020, pp. 7 - 10, 2020.
[24] Meng Ni,Xiao Wang,Zhe Zhou,Yang Ding,Fule Li,Woogeun Rhee,Zhihua Wang, A Correlation-based Timing Skew Calibration Strategy Using a Time-Interleaved Reference ADC, MWSCAS 2020, pp. 345 - 348, 2020.
[25] Meng Ni,Xiao Wang,Zhe Zhou,Yang Ding,Fule Li,Woogeun Rhee,Zhihua Wang, A 13-bit 312.5-MS/s Pipelined SAR ADC with Integrator-type Residue Amplifier and Inter-stage Gain Stabilization Technique, MWSCAS 2020, pp. 341 - 344, 2020.
[26] Yiqiao Liao,Milin Zhang,Zhihua Wang,Xiang Xie, Tri-FeatureNet: An Adversarial Learning-Based Invariant Feature Extraction for Sleep Staging using Single-Channel EEG, ISCAS 2020, pp. 1 - 5, 2020.
[27] Yiqiao Liao,Milin Zhang,Zhihua Wang,Xiang Xie, Design of a Hybrid Competition-Cooperation Teacher-Students Model for Single Channel Based Sleep Staging, ISCAS 2020, pp. 1 - 5, 2020.
[28] Xuan Li,Rui Ma,Jingwei Wei,Dongmei Li,Guolin Li,Zhihua Wang, A Swap-Combine Offset & Flicker Noise Cancellation Technique for Discrete Time Amplifier, ISCAS 2020, pp. 1 - 5, 2020.
[29] Xinyu Xu,Woogeun Rhee,Zhihua Wang, A Low-Spur Current-Biasing-Free Fractional-N Hybrid PLL for Low-Voltage Clock Generation, ISCAS 2020, pp. 1 - 4, 2020.
[30] Kang Zhao,Hanjun Jiang,Tao Yuan,Chun Zhang,Wen Jia,Zhihua Wang, A CNN Based Human Bowel Sound Segment Recognition Algorithm with Reduced Computation Complexity for Wearable Healthcare System, ISCAS 2020, pp. 1 - 5, 2020.
[31] Quansheng Wang,Peilin Yang,Hanjun Jiang,Yanshu Guo,Wen Jia,Zhihua Wang, A 34 nA Quiescent Current Switched-Capacitor Step-Down Converter with 1.2V Output Voltage and 0–5μA Load Current, ISCAS 2020, pp. 1 - 5, 2020.
[32] Wendi Yang,Hanjun Jiang,Yanshu Guo,Wen Jia,Zhihua Wang, A 2.8 μW 0.022 mm2 8 MHz Monolithic Relaxation Oscillator, ISCAS 2020, pp. 1 - 5, 2020.
[33] Deng Luo,Milin Zhang,Zhihua Wang, A 0.6V 12-Bit Binary-Scaled Redundant SAR ADC with 83dB SFDR, ISCAS 2020, pp. 1 - 4, 2020.
[34] Haixin Song,Woogeun Rhee,Zhihua Wang, A 6-8GHz Multichannel Reconfigurable Pulse-Based Transceiver with 3.5ns Processing Latency and 1cm Ranging Accuracy for Secure Wireless Connectivity, CICC 2020, pp. 1 - 4, 2020.
[35] Sen Li,Xiang Xie,Guolin Li,Zhihua Wang, A Projector-Camera-Touchpad Human Computer Interaction System based on Color Structured Light, Microelectronics & Computer, Vol.36, No.1, pp. 61-65, 2019.
[36] Mao Li,Chen Jia,Wenhuan Luan,Xin Lin,Ziqiang Wang,Chun Zhang,Zhihua Wang, A high speed transmitter with 40 bits data and variable data-width and supported multi-protocols (Original in Chinese), Microelectronics & Computer, Vol.36, No.9, pp. 16 - 20, 2019.
[37] Bing Wang,Hanjun Jiang,Yanshu Guo,Zhihua Wang, A 12 bit Low Power SAR ADC for Implantable ECG Monitoring, Microelectronics, Vol.48, No.6, pp. 728 - 732+737, 2019.
[38] Fangxu Lv,Jianye Wang,Xuqiang Zheng,Ziqiang Wang,Yajun He,Hao Ding,Yongcong Liu,Chun Zhang,Zhihua Wang, A 40 Gb/s SerDes Transceiver Chip with Controller and PHY in a 65nm CMOS Technology, Journal of Harbin Institute of Technology (New Series), Vol.26, No.3, pp. 50-57, 2019.
[39] Hao Tang,Yixin Zhou,Baojun Mai,Binjie Zhu,Ping Chen,Yujia Fu,Zhihua Wang, Monitoring hip posture in total hip arthroplasty using an inertial measurement unit-based hip smart trial system: An in vitro validation experiment using a fixed pelvis model, Journal of Biomechanics, Vol.97, 2019.
[40] Rahman Attar,Xiang Xie,Zhihua Wang,Shigang Yue, 2D reconstruction of small intestine's interior wall, Computers in Biology and Medicine, Vol.105, pp. 54 - 63, 2019.
[41] Fangxu Lv,Xuqiang Zheng,Jianye Wang,Guoli Zhang,Ziqiang Wang,Shuai Yuan,Yajun He,Chun Zhang,Zhihua Wang, A 20 GHz subharmonic injection-locked clock multiplier with mixer-based injection timing control in 65 nm CMOS technology, Analog Integrated Circuits and Signal Processing, Vol.99, No.1, pp. 147 - 157, 2019.
[42] Zhendong Ding,Xinyu Xu,Haixin Song,Woogeun Rhee,Zhihua Wang, Flash ADC-based digital LDO with non-linear decoder and exponential-ratio array, Electronics Letters, Vol.55, No.10, pp. 585 - 587, 2019.
[43] Yining Zhang,Haixin Song,Ranran Zhou,Woogeun Rhee,Zhihua Wang, A Capacitor-less Ripple-less Hybrid LDO With Exponential Ratio Array and 4000x Load Current Range, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.66, No.1, pp. 36 - 40, 2019.
[44] Kan Peng,Xian Tang,Songping Mai,Zhihua Wang, A Simultaneous Power and Downlink Data Transfer System With Pulse Phase Modulation, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.66, No.5, pp. 808 - 812, 2019.
[45] Xiangyu Meng,Baoyong Chi,Yibo Liu,Taikun Ma,Zhihua Wang, A Fully Integrated 150-GHz Transceiver Front-End in 65-nm CMOS, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.66, No.4, pp. 602 - 606, 2019.
[46] Xiao Wang,Fule Li,Wen Jia,Zhihua Wang, A 14-Bit 500-MS/s Time-Interleaved ADC With Autocorrelation-Based Time Skew Calibration, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.66, No.3, pp. 322 - 326, 2019.
[47] Fei Chen,Shuai Wang,Juanjuan Li,Huajun Tan,Wen Jia,Zhihua Wang, Smartphone-Based Hearing Self-Assessment System Using Hearing Aids With Fast Audiometry Method, IEEE Transactions on Biomedical Circuits and Systems, Vol.13, No.1, pp. 170 - 179, 2019.
[48] Wei Song,Qingquan Han,Zhonghang Lin,Nan Yan,Deng Luo,Yiqiao Liao,Milin Zhang,Zhihua Wang,Xiang Xie, Anhe Wang, Yang Chen, Shuo Bai, Design of a Flexible Wearable Smart sEMG Recorder Integrated Gradient Boosting Decision Tree Based Hand Gesture Recognition, IEEE Transactions on Biomedical Circuits and Systems, Vol.13, No.6, pp. 1563 - 1574, 2019.
[49] Syed Mudassir Hussain,Fasih Ud Din Farrukh,Shaojie Su,Zhihua Wang,Hong Chen, CMOS Image Sensor Design and Image Processing Algorithm Implementation for Total Hip Arthroplasty Surgery, IEEE Transactions on Biomedical Circuits and Systems, Vol.PP, No.99, pp. 1 - 1, 2019.
[50] Deng Luo,Milin Zhang,Zhihua Wang, A Low-Noise Chopper Amplifier Designed for Multi-Channel Neural Signal Acquisition, IEEE Journal of Solid-State Circuits, Vol.54, No.8, pp. 2255 - 2265, 2019.
[51] Haixin Song,Dang Liu,Yining Zhang,Woogeun Rhee,Zhihua Wang, A 6.5-8.1-GHz Communication/Ranging VWB Transceiver for Secure Wireless Connectivity With Enhanced Bandwidth Efficiency and ΔΣ Energy Detection, IEEE Journal of Solid-State Circuits, Vol.PP, No.99, pp. 1 - 14, 2019.
[52] Yining Zhang,Meng Ni,Xiaohua Huang,Woogeun Rhee,Zhihua Wang, A 3.7-mW 2.4-GHz Phase-Tracking GFSK Receiver With BBPLL-Based Demodulation, IEEE Journal of Solid-State Circuits, Vol.54, No.2, pp. 336 - 345, 2019.
[53] Yining Zhang,Jiahao Zhao,Woogeun Rhee,Zhihua Wang, Design and Analysis of Data-Pattern-Insensitive Phase-Tracking Receivers with Fully-Balanced FSK Modulation, VLSI-DAT 2019, pp. 1 - 4, 2019.
[54] Yuguang Liu,Haixin Song,Kunnong Zeng,Woogeun Rhee,Zhihua Wang, A 9mW 6-9GHz 2.5Gb/s Proximity Transmitter with Combined OOK/BPSK Modulation for Low Power Mobile Connectivity, VLSI-DAT 2019, pp. 1 - 4, 2019.
[55] Mingzhu Long,Xiang Xie,Guolin Li,Zhihua Wang, Wireless Capsule Endoscopic Image Enhancement Method Based on Histogram Correction and Unsharp Masking in Wavelet Domain, NEWCAS 2019, pp. 1 - 4, 2019.
[56] Zhuoyi Sun,Yingdan Li,Hanjun Jiang,Zhihua Wang, An RNN-based Speech Enhancement Method for a Binaural Hearing Aid System, NEWCAS 2019, pp. 1 - 4, 2019.
[57] Dengjie Wang,Hong Chen,Wenhuan Luan,Xin Lin,Fangxu Lv,Ziqiang Wang,Hanjun Jiang,Chun Zhang,Zhihua Wang, A 4-40 Gb/s PAM-4 transmitter with a hybrid driver in 65 nm CMOS technology, MWSCAS 2019, pp. 251 - 254, 2019.
[58] Shaoquan Gao,Hanjun Jiang,Fule Li,Zhihua Wang, DCO gain calibration technique in fractional-N Δ-Σ PLL based two-point phase modulators, MWSCAS 2019, pp. 718 - 721, 2019.
[59] Xiaodong You,Haigang Feng,Xinpeng Xing,Zhihua Wang, A Power Mixer Based Dual-Band Transmitter for NB-IoT Applications, MWSCAS 2019, pp. 287 - 290, 2019.
[60] Fasih Ud Din Farrukh,Tuo Xie,Chun Zhang,Zhihua Wang, A Solution to Optimize Multi-Operand Adders in CNN Architecture on FPGA, ISCAS 2019, pp. 1 - 4, 2019.
[61] Xiaohua Huang,Kunnong Zeng,Woogeun Rhee,Zhihua Wang, A Noise and Spur Reduction Technique for ΔΣ Fractional-N Bang-Bang PLLs with Embedded Phase Domain Filtering, ISCAS 2019, pp. 1 - 4, 2019.
[62] Cong Ding,Woogeun Rhee,Zhihua Wang, A Gaussian-Filtered Fully-Balanced FSK Modulator with Integer-N PLL Based 1+-Point Modulation, ISCAS 2019, pp. 1 - 4, 2019.
[63] Feifei Zhou,Xiangyu Li,Zhihua Wang, Efficiently User-Independent Ultrasonic-Based Gesture Recognition Algorithm, IEEE SENSORS 2019, pp. 1 - 4, 2019.
[64] Xinyu Xu,Woogeun Rhee,Zhihua Wang, Enhanced FIR-embedded noise reduction method with hybrid phase detection for semidigital fractional-N phase-locked loops, ICTA 2019, pp. 94 - 95, 2019.
[65] Xiangyu Meng,Mo Zhou,Baoyong Chi,Zhihua Wang, A 143.4-151.5 GHz High DC-RF Efficiency Signal Source in 65nm CMOS, ICTA 2019, pp. 1 - 2, 2019.
[66] Lingxiao Shen,Fule Li,Zhihua Wang, A 10b 50 MS/s single-Channel asynchronous SAR ADC with two alternate comparators and comparator calibration, ICTA 2019, pp. 35 - 36, 2019.
[67] Zhangyi Yuan,Milin Zhang,Xuecheng Wang,Zhihua Wang,Jiaxin Lei, Design of a General Purpose Wireless Compact Stimulator for Freely-moving Animal Subject In-vivo Neuroscientific Research, ICCASIT 2019, pp. 195 - 199, 2019.
[68] Xingyu Fu,Songping Mai,Zhihua Wang, An Energy-Efficient Implantable-Neural-Stimulator System with Wireless Charging and Dynamic Voltage Output, EMBC 2019, pp. 3835 - 3839, 2019.
[69] Zhe Su,Zhihua Wang,Hong Chen, A Force Line Trajectory Measuring System and Algorithms for Unicondylar Knee Replacement Surgery, EMBC 2019, pp. 2217 - 2221, 2019.
[70] Mengying Chen,Hanjun Jiang,Zhihua Wang, A Spinal Cord Stimulator Circuit with Combination of Two Charge Balancing Approaches Enabling 100% Charge Compensation, EDSSC 2019, pp. 1 - 3, 2019.
[71] Kunnong Zeng,Woogeun Rhee,Zhihua Wang, A BBPLL-Based Demodulator with Multiphase and Feedforward Linearization Methods for Phase-Tracking Receivers, EDSSC 2019, pp. 1 - 3, 2019.
[72] Zekai Wu,Fule Li,Meng Ni,Yang Ding,Zhihua Wang, A Background Timing Skew Calibration Technique in Time-Interleaved ADCs, EDSSC 2019, pp. 1 - 3, 2019.
[73] Xiaohua Huang,Kunnong Zeng,Yuguang Liu,Woogeun Rhee,Taeik Kim,Zhihua Wang, A 5GHz 200kHz/5000ppm Spread-Spectrum Clock Generator with Calibration-Free Two-Point Modulation Using a Nested-Loop BBPLL, CICC 2019, pp. 1 - 4, 2019.
[74] Wen Jia,Hanjun Jiang,Xiaofeng Yang,Wan Wang,Zhihua Wang,James Jin Wang,Youtu Wu, Passive Implantable Wireless Intracranial Pressure Monitoring Based on Near Field Communication, BioCAS 2019, pp. 1 - 4, 2019.
[75] Xuyang Wang,Mingzhu Long,Shaofeng Zou,Xiang Xie,Guolin Li,Zhihua Wang, Detail Recovery in Medical Images Denoising, BioCAS 2019, pp. 1 - 4, 2019.
[76] Shaofeng Zou,Mingzhu Long,Xuyang Wang,Xiang Xie,Guolin Li,Zhihua Wang, A CNN-Based Blind Denoising Method for Endoscopic Images, BioCAS 2019, pp. 1 - 4, 2019.
[77] Deng Luo,Milin Zhang,Zhihua Wang, A 0.8V Chopper Amplifier with 600mVpp Tolerance to Power-Line Interference for Neural Signal Acquisition, BioCAS 2019, pp. 1 - 4, 2019.
[78] Peilin Yang,Yanshu Guo,Hanjun Jiang,Zhihua Wang, A 360–456 MHz PLL frequency synthesizer with digitally controlled charge pump leakage calibration, A-SSCC 2019, pp. 285 - 286, 2019.
[79] Heng Huang,Milin Zhang,Guolin Li,Zhihua Wang, A 2Mbps sub-100µW Crystal-less RF Transmitter with Energy Harvesting for Multi-Channel Neural Signal Acquisition, A-SSCC 2019, pp. 157 - 160, 2019.
[80] Cong Ding,Haixin Song,Woogeun Rhee,Zhihua Wang, A 100Mb/s 3.5GHz Fully-Balanced BFOOK Modulator Based on Integer-N Hyrbrid PLL, A-SSCC 2019, pp. 291 - 294, 2019.
[81] Xinpeng Xing,Pengyi Cao,Haigang Feng,Zhihua Wang, A 0.9/1.8/2.4GHz-reconfigurable LNA with Inductor and Capacitor Tuning for IoT Application in 65nm CMOS, ASICON 2019, pp. 1 - 4, 2019.
[82] Yilin Zhao,Xian Tang,Zhihua Wang,Wai Tung Ng, An Inductive Power Transfer System With Adjustable Compensation Network For Implantable Medical Devices, APCCAS 2019, pp. 209 - 212, 2019.
[83] Shaoquan Gao,Hanjun Jiang,Zhaoyang Weng,Yanshu Guo,Jingjing Dong,Fule Li,Zhihua Wang, A 7.9 μA multi-step phase-domain ADC for GFSK demodulators, Analog Integrated Circuits and Signal Processing, Vol.94, pp. 49 - 63, 2018.
[84] Xinyuan Zhang,Shaoquan Gao,Hanjun Jiang,Zhihua Wang, Baseband Circuit Design for Wireless Speech Acquisition Transmitter Based on G.726 Coding, Microelectronics & Computer, Vol.35, No.1, pp. 31-35+40, 2018.
[85] Bing Wang,Hanjun Jiang,Yanshu Guo,Zhihua Wang, A 12bit Low Power SAR ADC for Implantable ECG Monitoring, Microelectronics, Vol.48, No.6, pp. 728-732+737, 2018.
[86] Yue Yin,Hanjun Jiang,Shulin Feng,Juzheng Liu,Ping Chen,Binjie Zhu,Zhihua Wang, Bowel sound recognition using SVM classification in a wearable health monitoring system, Science China (Information Sciences), Vol.61, No.8, pp. 084301:1–084301:3, 2018.
[87] Xian Tang,Jianxu Zeng,Kong Pang Pun,Songping Mai,Chun Zhang,Zhihua Wang, Low-Cost Maximum Efficiency Tracking Method For Wireless Power Transfer Systems, IEEE Transactions on Power Electronics, Vol.33, No.6, pp. 5317 - 5329, 2018.
[88] Hong Chen,Zhe Cao,Shaojie Su,Jie Liu,Zhihua Wang, Measurement System for Attitude of Anterior Pelvic Plane and Implantation of Prothesis in THR Surgery, IEEE Transactions on Instrumentation and Measurement, Vol.67, No.8, pp. 1913 - 1921, 2018.
[89] Yiyi Ren,Xiang Xie,Guolin Li,Zhihua Wang, A Scan-Line Forest Growing-Based Hand Segmentation Framework With Multipriority Vertex Stereo Matching for Wearable Devices, IEEE Transactions on Cybernetics, Vol.48, No.2, pp. 556 - 570, 2018.
[90] Kai Huang,Biao Hu,Long Chen,Alois Knoll,Zhihua Wang, Adas on Cots with OpenCL: A Case Study with Lane Detection, IEEE Transactions on Computers, Vol.67, No.4, pp. 559 - 565, 2018.
[91] Yanshu Guo,Hanjun Jiang,Heng Liu,Zhaoyang Weng,Woogeun Rhee,Chun Zhang,Zhihua Wang, A 120 pJ/bit ΔΣ -Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.65, No.12, pp. 1854 - 1858, 2018.
[92] Fei Chen,Woogeun Rhee,Zhihua Wang, A 5-mW 750-kb/s Noninvasive Transceiver for Around-the-Head Audio Applications, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.65, No.2, pp. 196 - 200, 2018.
[93] Xiaohua Huang,Dang Liu,Woogeun Rhee,Zhihua Wang, A 1-GHz 1.6-mW Auto-Calibrated Bit Slicer for Energy/Envelope Detection Receivers, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.65, No.5, pp. 587 - 591, 2018.
[94] Zhaoyang Weng,Hanjun Jiang,Jingjing Dong,Yang Li,Jingyi Zheng,Yiyu Shen,Fule Li,Woogeun Rhee,Zhihua Wang, 400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.65, No.2, pp. 745 - 757, 2018.
[95] Haikun Jia,Clarissa C. Prawoto,Baoyong Chi,Zhihua Wang,C. Patrick Yue, A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.65, No.9, pp. 2657 - 2668, 2018.
[96] Yiyi Ren,Xiang Xie,Guolin Li,Zhihua Wang, Hand Gesture Recognition With Multiscale Weighted Histogram of Contour Direction Normalization for Wearable Applications, IEEE Transactions on Circuits and Systems for Video Technology, Vol.28, No.2, pp. 364 - 377, 2018.
[97] Hanjun Jiang,Yanshu Guo,Zeliang Wu,Chun Zhang,Wen Jia,Zhihua Wang, Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing, IEEE Transactions on Biomedical Circuits and Systems, Vol.12, No.5, pp. 1076 - 1087, 2018.
[98] Mingzhu Long,Zhuo Li,Xiang Xie,Guolin Li,Zhihua Wang, Adaptive Image Enhancement Based on Guide Image and Fraction-Power Transformation for Wireless Capsule Endoscopy, IEEE Transactions on Biomedical Circuits and Systems, Vol.12, No.5, pp. 993 - 1003, 2018.
[99] Jianfu Lin,Zheng Song,Nan Qi,Woogeun Rhee,Zhihua Wang,Baoyong Chi, A 77-GHz Mixed-Mode FMCW Signal Generator Based on Bang-Bang Phase Detector, IEEE Journal of Solid-State Circuits, Vol.53, No.10, pp. 2850 - 2863, 2018.
[100] Wendi Yang,Hanjun Jiang,Zhihua Wang, Crystal-less oscillator calibration using serial data as frequency reference, Electronics Letters, Vol.54, No.16, pp. 967 - 968, 2018.
[101] Guijie Zhu,Songping Mai,Xian Tang,Zhihua Wang, Enhancement Method of Efficiency and Working Range in Bio-Implant Wireless Power Transfer, WPTC 2018, pp. 1 - 4, 2018.
[102] Xiaohua Huang,Han Liu,Woogeun Rhee,Zhihua Wang, A DS DPLL with 1b TDC, 4b DTC and 8-Tap FIR Filter For Low-Voltage Clock Generation/Modulation Systems, VLSI-DAT 2018, pp. 1 - 2, 2018.
[103] Xiaohua Huang,Han Liu,Woogeun Rhee,Zhihua Wang, A ΔΣ DPLL with 1b TDC, 4b DTC and 8-tap FIR filter for low-voltage clock generation/modulation systems, VLSI-DAT 2018, pp. 1 - 4, 2018.
[104] Zhendong Ding,Woogeun Rhee,Zhihua Wang, A VCO-dedicated digital LDO with multi-comparator coarse loop and 1-bit ΔΣ fine loop for robust frequency generation, IWS 2018, pp. 1 - 4, 2018.
[105] Baoyong Chi,Zheng Song,Haikun Jia,Lixue Kuang,Jianfu Lin,Zhihua Wang, CMOS circuit techniques for mm-wave communications, IWS 2018, pp. 1 - 3, 2018.
[106] Yubing Bai,Xiang Xie,Guolin Li,Zhihua Wang, A Fast Graph Based Method for Object Segmentation in Sidescan Sonar Image, ISNE 2018, pp. 1 - 4, 2018.
[107] Hongyu Li,Fule Li,Weitao Li,Hanjun Jiang,Zhihua Wang, Design of a 5GS/s 200 MHz BW 74.8 dB SNDR continuous-time sigma delta modulator in 28nm CMOS, ISNE 2018, pp. 1 - 4, 2018.
[108] Guijie Zhu,Songping Mai,Xian Tang,Chun Zhang,Zhihua Wang,Hong Chen, An Energy-Efficient High-Frequency Neuro-Stimulator with Parallel Pulse Generators, Staggered Output and Extended Average Current Range, ISCAS 2018, pp. 1 - 4, 2018.
[109] Chengwei Wang,Xiao Wang,Yang Ding,Fule Li,Zhihua Wang, A 14-bit 250MS/s Low-Power Pipeline ADC with Aperture Error Eliminating Technique, ISCAS 2018, pp. 1 - 5, 2018.
[110] Xiao Wang,Chengwei Wang,Fule Li,Zhihua Wang, A Low-Power 12-bit 2GS/s Time-Interleaved Pipelined-SAR ADC in 28nm CMOS Process, ISCAS 2018, pp. 1 - 5, 2018.
[111] Deng Luo,Milin Zhang,Zhihua Wang, Design of A Low Noise Neural Recording Amplifier for Closed-loop Neuromodulation Applications, ISCAS 2018, pp. 1 - 4, 2018.
[112] Fasih Ud Din Farrukh,Tuo Xie,Chun Zhang,Zhihua Wang, Optimization for Efficient Hardware Implementation of CNN on FPGA, ICTA 2018, pp. 88 - 89, 2018.
[113] Chang Cheng,Ting Ou,Deng Luo,Milin Zhang,Zhihua Wang, Design of an Attention Evaluation System with 16-Channel Differential Signal Acquisition, ICTA 2018, pp. 102 - 103, 2018.
[114] Yuguang Liu,Haixin Song,Woogeun Rhee,Zhihua Wang, A 13.5mW 4Gb/s Filter-less UWB Transmitter for High Data Rate Mobile Applications, ICSICT 2018, pp. 1 - 3, 2018.
[115] Zekai Wu,Chengwei Wang,Yang Ding,Fule Li,Zhihua Wang, An ADC Input Buffer with Optimized Linearity, ICSICT 2018, pp. 1 - 3, 2018.
[116] Syed Mudassir,Xiaoyang Cao,Shaojie Su,Hong Chen,Zhihua Wang, Design and Simulation of an Application Specific CMOS Image Sensor, ICECE 2018, pp. 110 - 114, 2018.
[117] Yiqiao Liao,Milin Zhang ,Zhihua Wang,Xiang Xie, Design and FPGA Implementation of an High Efficient XGBoost Based Sleep Staging Algorithm Using Single Channel EEG, ICCSIP 2018, pp. 294 - 303, 2018.
[118] WenAo Xie,Chun Zhang,YuanHang Zhang,Chuanbo Hu,Hanjun Jiang,Zhihua Wang, An Energy-Efficient FPGA-Based Embedded System for CNN Application, EDSSC 2018, pp. 1 - 2, 2018.
[119] Guijie Zhu,Songping Mai,Xian Tang,Zhihua Wang, Simplified reconfigurable rectifier with consistent input capacitance for wireless power transfer, EDSSC 2018, pp. 1 - 2, 2018.
[120] Wendi Yang,Hanjun Jiang,Zhihua Wang,Wen Jia, An Ultra-Low Power Temperature Sensor Based on Relaxation Oscillator in Standard CMOS, EDSSC 2018, pp. 1 - 2, 2018.
[121] Shaoquan Gao,Hanjun Jiang,Zhihua Wang,Wen Jia, Low voltage low power full adder for baseband circuits in wireless systems, EDSSC 2018, pp. 1 - 2, 2018.
[122] Xingyu Fu,Songping Mai,Zhihua Wang, A Wireless Power-Efficient Charger Chip with Closed-Loop Controlling Mechanism for Implantable Biomedical System, EDSSC 2018, pp. 1 - 2, 2018.
[123] Pengyi Cao,Xinpeng Xing,Haigang Feng,Zhihua Wang, A Novel Cascode Mixer for NB-IoT Transceiver System in 65nm CMOS, EDSSC 2018, pp. 1 - 2, 2018.
[124] Xiaodong You,Haigang Feng,Xinpeng Xing,Zhihua Wang, A Novel Power Mixer for NB-IoT Transmitter in 65-nm CMOS, EDSSC 2018, pp. 1 - 2, 2018.
[125] Yi Yang,Hanjun Jiang,Yanshu Guo,Zhihua Wang, A Low Power Low Noise Front End Amplifier for ECG Monitoring, EDSSC 2018, pp. 1 - 2, 2018.
[126] Dang Liu,Xiaohua Huang,Zhendong Ding,Haixin Song,Woogeun Rhee,Zhihua Wang, A 26.6mW 1Gb/s dual-antenna wideband receiver with auto beam steering for secure proximity communications, CICC 2018, 2018.
[127] Deng Luo,Milin Zhang,Zhihua Wang, Design of a 3.24μW, 39nV/√Hz chopper amplifier with 5.5Hz noise corner frequency for invasive neural signal acquisition, CICC 2018, pp. 1 - 4, 2018.
[128] Zhuoyi Sun,Yingdan Li,Hanjun Jiang,Fei Chen,Zhihua Wang, A MVDR- MWF Combined Algorithm for Binaural Hearing Aid System, BioCAS 2018, pp. 1 - 4, 2018.
[129] Jiawen Xue,Xiang Xie,Guolin Li,Zhihua Wang, Guided Frequency Filter For Block-DCT Compressed Capsule Endoscopic Images, BioCAS 2018, pp. 1 - 4, 2018.
[130] Mingzhu Long,Zehua Lan,Xiang Xie,Guolin Li,Zhihua Wang, Image Enhancement Method Based on Adaptive Fraction Gamma Transformation and Color Restoration for Wireless Capsule Endoscopy, BioCAS 2018, pp. 1 - 4, 2018.
[131] Yunfei Li,Xiang Xie,Guolin Li,Zhihua Wang, Lung Nodule Segmentation Using Pleural Wall Shape, BioCAS 2018, pp. 1 - 4, 2018.
[132] Haixin Song,Dang Liu,Woogeun Rhee,Zhihua Wang, A 6-8GHZ 200MHz Bandwidth 9-Channel VWB Transceiver with 8 Frequency-Hopping Subbands, A-SSCC 2018, pp. 295 - 298, 2018.
[133] Syed Mudassir,Shaojie Su,Mingzhu Long,Zhihua Wang, Patterns Detection and Recognition in Visual Aided System for Prosthesis Pose Estimation during Total Hip Replacement Surgery, APCCAS 2018, pp. 556 - 559, 2018.
[134] Xiuju He,Xian Gu,Weitao Li,Hanjun Jiang,Fule Li,Zhihua Wang, An 11-bit 200MS/s Subrange SAR ADC with Low-Cost Integrated Reference Buffer, Journal of Semiconductors, Vol.38, No.10, pp. 88-93, 2017.
[135] Yining Zhang,Ranran Zhou,Woogeun Rhee,Zhihua Wang, A 1.9-mW 750-kb/s 2.4-GHz F-OOK Transmitter With Symmetric FM Template and High-Point Modulation PLL, Journal of Solid-State Circuits, Vol.52, No.10, pp.2627 - 2635, 2017.
[136] Yang Xu,Xinwang Zhang,Zhihua Wang,Baoyong Chi, A Flexible Continuous-Time ΔΣ ADC With Programmable Bandwidth Supporting Low-Pass and Complex Bandpass Architectures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.25, No.3, pp. 872 - 880, 2017.
[137] Junfeng Zhang,Yang Xu,Zehong Zhang,Yichuang Sun,Zhihua Wang,Baoyong Chi, A 10-b Fourth-Order Quadrature Bandpass Continuous-Time ΣΔ Modulator With 33-MHz Bandwidth for a Dual-Channel GNSS Receiver, IEEE Transactions on Microwave Theory and Techniques, Vol.65, No.4, pp. 1303 - 1314, 2017.
[138] Xiaoyong Li,Sitao Lv,Woogeun Rhee,Wen Jia,Zhihua Wang, 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control, IEEE Transactions on Microwave Theory and Techniques, Vol.65, No.7, pp. 2387 - 2398, 2017.
[139] Xican Chen,Yiyu Shen,Zhicheng Wang,Woogeun Rhee,Zhihua Wang, A 17 mW 3-to-5 GHz Duty-Cycled Vital Sign Detection Radar Transceiver With Frequency Hopping and Time-Domain Oversampling, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.64, No.4, pp. 969 - 980, 2017.
[140] Shaojie Su,Yixin Zhou,Zhihua Wang,Hong Chen, Monocular Vision- and IMU-Based System for Prosthesis Pose Estimation During Total Hip Replacement Surgery, IEEE Transactions on Biomedical Circuits and Systems, Vol.11, No.3, pp. 661 - 670, 2017.
[141] Xiangyu Meng,Baoyong Chi,Zhihua Wang, CMOS Cross-Coupled Oscillator Operating Close to the Transistor’s fmax, IEEE Microwave and Wireless Components Letters, Vol.27, No.12, pp. 1131 - 1133, 2017.
[142] Xiangyu Meng,Baoyong Chi,Zhihua Wang, A 152-GHz OOK Transmitter With 3-dBm Output Power in 65-nm CMOS, IEEE Microwave and Wireless Components Letters, Vol.27, No.8, pp. 748 - 750, 2017.
[143] Dang Liu,Xuwen Ni,Ranran Zhou,Woogeun Rhee,Zhihua Wang, A 0.42-mW 1-Mb/s 3- to 4-GHz Transceiver in 0.18- μm CMOS With Flexible Efficiency, Bandwidth, and Distance Control for IoT Applications, IEEE Journal of Solid-State Circuits, Vol.52, No.6, pp. 1479 - 1494, 2017.
[144] Xuqiang Zheng,Chun Zhang,Fangxu Lv,Feng Zhao,Shuai Yuan,Shigang Yue,Ziqiang Wang,Fule Li,Zhihua Wang, A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS, IEEE Journal of Solid-State Circuits, Vol.52, No.11, pp. 2963 - 2978, 2017.
[145] Xian Tang,Jianxu Zeng,Yanqi Zheng,Ka Nang Leung,Zhihua Wang, Limit-cycle oscillation reduction in high-efficiency wireless power receiver, Electronics Letters, Vol.53, No.16, pp. 1152 - 1154, 2017.
[146] Jingjing Dong,Hanjun Jiang,Kai Yang,Zhaoyang Weng,Fule Li,Jianjun Wei,Yanqing Ning,Xinkai Chen,Zhihua Wang, A wireless body sound sensor with a dedicated compact chipset, Circuits Systems and Signal Processing, Vol.36, No.6, pp. 2341 - 2359, 2017.
[147] Guijie Zhu,Songping Mai,Chun Zhang,Zhihua Wang, Distance and Load Insensitive Inductive Powering for Implantable Medical Devices Through Wireless Communication, WPTC 2017, pp. 1 - 3, 2017.
[148] Woogeun Rhee,Dang Liu,Yining Zhang,Zhihua Wang, Energy-efficient proprietary transceivers for IoT and smartphone-based WPAN, RFIT 2017, pp. 40 - 42, 2017.
[149] Han Liu,Sitao Lv,Xiaohua Huang,Woogeun Rhee,Zhihua Wang, A fractional-NBB-DPLL with auto-tuned DTC and FIR filter for noise and spur reduction, RFIT 2017, pp. 238 - 240, 2017.
[150] Tuo Xie,Chun Zhang,Yongming Li,Hanjun Jiang,Zhihua Wang, An enhanced TDoA approach handling multipath interference in Wi-Fi based indoor localization systems, MWSCAS 2017, pp. 160 - 163, 2017.
[151] Shuai Yuan,Liji Wu,Ziqiang Wang,Chun Zhang,Zhihua Wang,Hanjun Jiang, A 25Gb/s Serial-Link Repeater With Receiver Equalization and Transmitter De-emphasis in 0.13μm SiGe BiCMOS, MWSCAS 2017, pp. 527 - 530, 2017.
[152] Yubing Bai,Xiang Xie,Guolin Li,Zhihua Wang, An Ensemble Learning Based Adaptive Algorithm for Capsule Endoscope Image Deblocking, MWSCAS 2017, pp. 1340 - 1343, 2017.
[153] Chaoxiang Yang,Chung Zhang,Wenao Xie,Hanjun Jiang,Zhihua Wang, Tactile Array Sensor for Manipulator Based on the Barometric Chips, MWSCAS 2017, 2017.
[154] Yajun He,Ziqiang Wang,Han Liu,Fangxu Lv,Shuai Yuan,Chun Zhang,Zhihua Wang,Hanjun Jiang, An 8.5–12.5GHz wideband LC PLL with dual VCO cores for multi-protocol SerDes, MWSCAS 2017, pp. 791 - 794, 2017.
[155] Fangxu Lv,Xuqiang Zheng,Shuai Yuan,Ziqiang Wang,Yajun He,Chun Zhang,Zhihua Wang,Fangxu Lv,Jianye Wang, A 40-80Gb/s PAM4 Wireline Transmitter in 65nm CMOS Technology, MWSCAS 2017, pp. 539 - 542, 2017.
[156] Yuchi Zhang,Guolin Li,Xiang Xie,Zhihua Wang, A New Algorithm for Fast and Accurate Moving Object Detection Based on Motion Segmentation by Clustering, MVA 2017, pp. 414 - 417, 2017.
[157] Shiqiang Guan,Guolin Li,Xiang Xie,Zhihua Wang, Bi-Direction ICP: Fast Registration Method of Point Clouds, MVA 2017, 2017.
[158] Tuo Xie,Chun Zhang,Zhihua Wang, Wi-Fi TDoA indoor localization system based on SDR platform, ISCE 2017, pp. 82 - 83, 2017.
[159] Yudong Zhang,Xiaofeng Liu,Woogeun Rhee,Hanjun Jiang,Zhihua Wang, A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated ΔΣ LDO and temperature compensation circuits in 65nm CMOS, ISCAS 2017, pp. 1 - 4, 2017.
[160] Zhuo Li,Xintong Liu,Xiang Xie,Guolin Li,Zhihua Wang, An Optical Tracker Based Registration Method Using Feedback for Robot-Assisted Insertion Surgeries, ISCAS 2017, pp. 1 - 4, 2017.
[161] Sen Li,Xiang Xie,Guolin Li,Zhihua Wang, Bare-finger Projector-Camera-Touchpad (PCT) HCI System Using Color Structured Light, ISCAS 2017, pp. 1 - 4, 2017.
[162] Yuchi Zhang,Guolin Li,Xiang Xie,Zhihua Wang, A New Algorithm for Accurate and Automatic Chessboard Corner Detection, ISCAS 2017, pp. 1 - 4, 2017.
[163] Ranran Zhou,Yining Zhang,Woogeun Rhee,Zhihua Wang, An Energy/Bandwidth/Area Efficient Frequency-Domain OOK Transmitter with Phase Rotated Modulation, ISCAS 2017, pp. 1 - 4, 2017.
[164] Yanshu Guo,Songping Mai,Zhaoyang Weng,Heng Liu,Hanjun Jiang,Zhihua Wang, A 9.4 pJ/bit 432 MHz 16-QAM/MSK Transmitter Based on Edge-Combining Power Amplifier, ISCAS 2017, pp. 1 - 4, 2017.
[165] Zhe Cao,Shaojie Su,Hao Tang,Yixin Zhou,Zhihua Wang,Hong Chen, IMU-based Real-Time Pose Measurement system for Anterior Pelvic Plane in Total Hip Replacement Surgeries, EMBC 2017, pp. 1360– 1363, 2017.
[166] Wenhuan Luan,Ziqiang Wang,Shuai Yuan,Chun Zhang,Zhihua Wang, A 13.3W 5-Gb/s two-dimensional eye-opening monitor in 40nm CMOS technology, EDSSC 2017, pp. 1 - 2, 2017.
[167] Yao Lu,Songping Mai,Chun Zhang,Hong Chen,Zhihua Wang, Design optimization of printed spiral coils and impedance matching networks for load-variable wireless power transfer systems, EDSSC 2017, pp. 1 - 2, 2017.
[168] Tuo Xie,Heyi Hu,Chaoxiang Yang,Chun Zhang,Zhihua Wang, Design of IEEE 802.11b baseband receiver for indoor localization, EDSSC 2017, pp. 1 - 2, 2017.
[169] Shuai Yuan,Ziqiang Wang,Yajun He,Fangxu Lv,Chun Zhang,Zhihua Wang,Hanjun Jiang, A 1.25–12.5Gb/s 5.28mW/Gb/s multi-standard serial-link transceiver with 32dB of equalization in 40nm CMOS, EDSSC 2017, pp. 1 - 2, 2017.
[170] WenAo Xie,Chun Zhang,ChaoXiang Yang,YuanHang Zhang,Chuanbo Hu,Zhihua Wang, Real-time Pressure Measurement Using Vision-based Tactile Sensor, EDSSC 2017, pp. 1 - 2, 2017.
[171] Jia Liu,Fule Li,Weitao Li,Hanjun Jiang,Zhihua Wang, A Flash ADC with low offset dynamic Comparators, EDSSC 2017, pp. 1 - 2, 2017.
[172] Fangxu Lv,Jianye Wang,Heming Wang,Ziqiang Wang,Yajun He,Yongcong Liu,Chun Zhang,Zhihua Wang,Hanjun Jiang, A 10 GHz Ring-VCO Based Injection-Locked Clock Multiplier for 40 Gb/s SerDes Application in 65 nm CMOS Technology, EDSSC 2017, pp. 1 - 2, 2017.
[173] Fangxu Lv,Xuqiang Zheng,Ziqiang Wang,Yajun He,Chun Zhang,Jianye Wang,Zhihua Wang,Hanjun Jiang, Design of 80-Gb/s PAM4 Wireline Receiver in 65-nm CMOS Technology, EDSSC 2017, pp. 1 - 2, 2017.
[174] Fangxu Lv,Jianye Wang,Xuqiang Zheng,Shuai Yuan,Ziqiang Wang,Yajun He,Zhihua Wang,Hanjun Jiang, A 10–60 Gb/s wireline transmitter with a 4-tap multiple-MUX based FFE, EDSSC 2017, pp. 1 - 2, 2017.
[175] Xuqiang Zheng,Fangxu Lv,Feng Zhao,Shigang Yue,Chun Zhang,Ziqiang Wang,Fule Li,Hanjun Jiang,Zhihua Wang, A 10 GHz 56 fsrms-integrated-jitter and −247 dB FOM ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm CMOS, CICC 2017, pp. 1 - 4, 2017.
[176] Xuqiang Zheng,Chun Zhang,Fangxu Lv,Feng Zhao,Shigang Yue,Ziqiang Wang,Fule Li,Hanjun Jiang,Zhihua Wang, A 4–40 Gb/s PAM4 transmitter with output linearity optimization in 65 nm CMOS, CICC 2017, pp. 1 - 4, 2017.
[177] Yining Zhang,Ranran Zhou,Woogeun Rhee,Zhihua Wang, A 6.1mW 5Mb/s 2.4GHz transceiver with F-OOK modulation for high bandwidth and energy efficiencies, CICC 2017, pp. 1 - 4, 2017.
[178] Mingzhu Long,Zhuo Li,Yuchi Zhang,Xiang Xie,Guolin Li,Shigang Yue,Zhihua Wang, Guide image based enhancement method for wireless capsule endoscopy, BioCAS 2017, pp. 1 - 4, 2017.
[179] Zeliang Wu,Hanjun Jiang,Yanshu Guo,Chun Zhang,Wen Jia,Zhihua Wang, Live Demonstration:Wireless Intracranial Pressure Monitoring System Based on an Air Pressure Sensor, BioCAS 2017, pp. 1 - 1, 2017.
[180] Zeliang Wu,Hanjun Jiang,Yanshu Guo,Chun Zhang,Wen Jia,Zhihua Wang, Wireless Intracranial Pressure Monitoring System Based on an Air Pressure Sensor, BioCAS 2017, pp. 1 - 4, 2017.
[181] Weitao Li,Fule Li,Jia Liu,Hongyu Li,Zhihua Wang, A 13-bit 160MS/s pipelined subranging-SAR ADC with low-offset dynamic comparator, A-SSCC 2017, pp. 225 - 228, 2017.
[182] Yingdan Li,Fei Chen,Zhuoyi Sun,Zhaoyang Weng,Xian Tang,Hanjun Jiang,Zhihua Wang, System architecture of a smart binaural hearing aid using a mobile computing platform, ASICON 2017, pp. 440 - 443, 2017.
[183] Ting Ou,Deng Luo,Yuwei Zhang,Yiqiao Liao,Chang Cheng,Milin Zhang,Chun Zhang,Zhihua Wang,Xiang Xie, Design of a closed-loop, bi-directional brain-machine-interface integrated on-chip spike sorting, ASICON 2017, pp. 504 - 507, 2017.
[184] Ruihan Pei, Jia Liu,Xian Tang,Fule Li,Zhihua Wang, A low-offset dynamic comparator with input offset-cancellation, ASICON 2017, pp. 132 - 135, 2017.
[185] Yaxiong Lei,Xinpeng Xing,Haigang Feng,Zhihua Wang, A 20Mbps 5.8mw QPSK transmitter based on injection locking and Class-E PA for wireless biomedical applications, ASICON 2017, pp. 448 - 451, 2017.
[186] Xiaofeng Liu,Dang Liu,Woogeun Rhee,Zhihua Wang, A Multiphase Clock Generation for UWB Transceiver, Microelectronics & Computer, Vol.33, No.11, pp. 87-90+94, 2016.
[187] Yang Zhang,Hanjun Jiang,Zhihua Wang, A Double Threshold Speech Endpoint Detection Algorithm Implementation in ASIC, Microelectronics & computer, Vol.33, No.11, pp. 69 - 73, 2016.
[188] Jingpei Xia,Hanjun Jiang,Heng Liu,Zhexiang Chi,Zhihua Wang,Dinghua Zhou,Tao Yan, Microelectronics, Vol.46, No.2, pp. 233 - 238, 2016.
[189] Ping Chen,Chun Zhang,Yishan Zhang,Hanjun Jiang,Zhihua Wang, The Function Simulation of DDR2 SDRAM Controller IP and Verification in FPGA, Microelectronics, Vol.46, No.2, pp. 251 - 254, 2016.
[190] Wan Wang,Hanjun Jiang,Naihao Xu,Dongmei Li,Zhihua Wang, A Low Power 24-bit Δ-Σ ADC for ECG Signal Acquisition with Dynamic Adjustment, Microelectronics, Vol.46, No.2, pp. 150 - 154, 2016.
[191] Naihao Xu,Hanjun Jiang,Wan Wang,Ziqiang Wang,Zhihua Wang, Current-feedback Instrumentation Amplifier with Digital Offset Calibration and Rail-to-rail Output for ECG/EEG Acquisition, Microelectronics, Vol.46, No.1, pp. 25 - 28, 2016.
[192] Xuqiang Zheng,Fule Li,Zhijun Wang,Weitao Li,Wen Jia,Zhihua Wang,Shigang Yue, An S/H circuit with parasitics optimized for IF-sampling, Journal of Semiconductors, Vol.37, No.6, pp. 065005-1 - 5, 2016.
[193] Baoyong Chi,Zheng Song,Lixue Kuang,Haikun Jia,Xiangyu Meng,Zhihua Wang, CMOS mm-wave transceivers for Gbps wireless communication, Journal of Semiconductors, No.7, pp. 5 - 15, 2016.
[194] Jingyi Zheng,Shaoquan Gao,Hanjun Jiang,Chun Zhang,Zhihua Wang,Wen Jia, A GMSK demodulator with frequency offset estimation and calibration, Application of Electronic Technique, Vol.42, No.6, pp. 101 - 104, 2016.
[195] Heng Liu,Hanjun Jiang,Jingpei Xia,Zhexiang Chi,Fule Li,Zhihua Wang, A Fully Integrated SoC for Smart Capsule Providing In-Body Continuous pH and Temperature Monitoring, Journal of Semiconductor Technology and Science, Vol.16, No.5, pp. 542 - 549 , 2016.
[196] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A Simple and Robust Self-Healing Technique for Millimeter-Wave Amplifiers, IET Circuits, Devices & Systems, Vol.10, No.1, pp. 37 - 43, 2016.
[197] Yang Xu,Zehong Zhang,Baoyong Chi,Nan Qi,Hualin Cai,Zhihua Wang, A 5-/20-MHz BW Reconfigurable Quadrature Bandpass CT ΔΣ ADC With AntiPole-Splitting Opamp and Digital I/Q Calibration, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.24, No.1, pp. 243 - 255, 2016.
[198] Haikun Jia,Lixue Kuang,Zhihua Wang,Baoyong Chi, A W-Band Injection-Locked Frequency Doubler Based on Top-Injected Coupled Resonator, IEEE Transactions on Microwave Theory and Techniques, Vol.64, No.1, pp. 210 - 218, 2016.
[199] Xiaobao Yu,Meng Wei,Ying Song,Zhihua Wang,Baoyong Chi, A PAPR-Aware Dual-Mode Subgigahertz CMOS Power Amplifier for Short-Range Wireless Communication, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.63, No.1, pp. 44 - 48, 2016.
[200] Xuqiang Zheng,Zhijun Wang,Fule Li,Feng Zhao,Shigang Yue,Chun Zhang,Zhihua Wang, A 14-bit 250 MS/s IF Sampling Pipelined ADC in 180 nm CMOS Process, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.63, No.9, pp. 1381 - 1392, 2016.
[201] Shuai Yuan,Liji Wu,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 70 mW 25 Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset With 40 dB of Equalization in 65 nm CMOS Technology, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.63, No.7, pp. 939 - 949, 2016.
[202] Yiyi Ren,Xiang Xie,Guolin Li,Zhihua Wang, Hand Gesture Recognition with Multi-Scale Weighted Histogram of Contour Direction (MSWHCD) Normalization for Wearable Applications, IEEE Transactions on Circuits and Systems for Video Technology, Vol.pp, No.99, pp. 1 - 1, 2016.
[203] Haikun Jia,Lixue Kuang,Wei Zhu,Zhiping Wang,Feng Ma,Zhihua Wang,Baoyong Chi, A 77 GHz Frequency Doubling Two-Path Phased-Array FMCW Transceiver for Automotive Radar, IEEE Journal of Solid-State Circuits, Vol.51, No.10, pp. 2299 - 2311, 2016.
[204] Yue Yin,Hanjun Jiang,Wendi Yang,Zhihua Wang, Intestinal motility assessment based on Legendre fitting of logarithmic bowel sound spectrum, Electronics Letters, Vol.52, No.16, pp. 1364 - 1366, 2016.
[205] Hanjun Jiang,Zhaoyang Weng,Yang Li,Jingjing Dong,Woogeun Rhee,Zhihua Wang, A 10 Mbps 0.3 nJ/bit OQPSK transceiver IC for 400-450 MHz medical telemetry, Electronics Letters, Vol.52, No.22, pp. 1830 - 1832, 2016.
[206] Zhaoyang Weng,Hanjun Jiang,Jingjing Dong,Fule Li,Zhihua Wang, 400–450 MHz power amplifier with high-order harmonic suppression for multi-protocol transceiver , Electronics Letters, Vol.52, No.23, pp. 1927 - 1929, 2016.
[207] Hanjun Jiang,Zheyao Wang,Shujie Yang,Heng Liu,Zhihua Wang, CMOS wireless stress sensor IC with 256-cell sensing array for ultra-thin applications, Electronics Letters, Vol.52, No.20, pp. 1660-1661, 2016.
[208] Hanjun Jiang,Xiyang Zhu,Wenao Xie,Feng Guo,Chun Zhang,Zhihua Wang, Vision-based tactile sensor using depth from defocus for artificial finger in hand prosthesis, Electronics Letters, Vol.52, No.20, pp. 1665-1667, 2016.
[209] Hanjun Jiang,Zhaoyang Weng,Yang Li,Jingjing Dong,Woogeun Rhee,Zhihua Wang, 10 Mbps 0.3 nJ/bit OQPSK transceiver IC for 400–450 MHz medical telemetry, Electronics Letters, Vol.52, No.22, pp. 1830-1832, 2016.
[210] Zhaoyang Weng,Hanjun Jiang,Jingjing Dong,Fule Li,Zhihua Wang, 400–450 MHz power amplifier with high-order harmonic suppression for multi-protocol transceiver, Electronics Letters, Vol.52, No.23, pp. 1927-1929, 2016.
[211] Haixin Song,Woogeun Rhee,Inbo Shim,Zhihua Wang, Digital LDO with 1-bit ΔΣ modulation for low-voltage clock generation systems, Electronics Letters, Vol.52, No.25, pp. 2034 - 2036, 2016.
[212] Xiangyu Meng, Zhihua Wang,Baoyong Chi, A 180 GHz differential Colpitts VCO in 65 nm CMOS, Analog Integrated Circuits & Signal Processing, Vol.86, No.1, pp. 25 - 31, 2016.
[213] Xiangyu Meng,Baoyong Chi, Zhihua Wang, An 110 GHz CMOS Colpitts VCO based on switched coupled-inductor, Analog Integrated Circuits & Signal Processing, Vol.86, No.1, pp. 115 - 120, 2016.
[214] Sitao Lv,Ni Xu,Woogeun Rhee,Zhihua Wang, A Hybrid Frequency/Phase-Locked Loop for Versatile Clock Generation with Wide Reference Frequency Range, VLSI-DAT 2016, pp. 1 - 4, 2016.
[215] Yining Zhang,Xuwen Ni,Woogeun Rhee,Zhihua Wang, A 1.8mW 2Mb/s Chirp-UWB Transceiver with Burst-Mode Transmission and Slope-Based Detection, RFIT 2016, pp. 1 - 3, 2016.
[216] Xuwen Ni,Woogeun Rhee,Zhihua Wang, A 0.3mW 1Mb/s High Security Proximity UWB Transmitter with Frequency/Time-Domain Scrambling, RFIT 2016, pp. 1 - 3, 2016.
[217] Naiwen Zhou,Linghan Wu,Ziqiang Wang,Zhihua Wang, A 28-Gb/s Transmitter with 3-tap FFE and T-coil Enhanced Terminal in 65-nm CMOS Technology, NEWCAS 2016, pp. 1 - 4, 2016.
[218] Zhaoming Wu,Chun Zhang,Fule Li,Zhihua Wang, High Speed Serial Interface Transceiver Controller Based on JESD204B, NEWCAS 2016, pp. 1 - 4, 2016.
[219] Ning Yan,Dongmei Li,Guolin Li,Zhihua Wang, A 77.1dB/108.9dB SNDR Dual-mode Delta-Sigma Modulator, NEWCAS 2016, pp. 1 - 4, 2016.
[220] Shushu Wei,XiuJu He,Fule Li,Zhihua Wang, An 11-bit 200MS/s Subrange SAR ADC with Charge-Compensation-Based Reference Buffer, NEWCAS 2016, pp. 1 - 4, 2016.
[221] Ying Ju,Fule Li,XiuJu He,Chun Zhang,Zhihua Wang, Aperture Error Reduction Technique for Subrange SAR ADC, NEWCAS 2016, pp. 1 - 4, 2016.
[222] Xuwen Ni,Yining Zhang,Woogeun Rhee,Wen Jia,Zhihua Wang, A 0.5mW 1Mb/s Multi-Channel Chirp-UWB Transmitter with Burst-Mode Transmission and Optimized Digital Gradient, IWS 2016, pp. 1 - 4, 2016.
[223] Shushu Wei,Xian Gu,Fule Li,Zhihua Wang, An 11-bit 250MS/s subrange-SAR ADC in 40nm CMOS, ISNE 2016, pp. 1 - 2, 2016.
[224] Ying Ju,Fule Li,Xian Gu,Chun Zhang,Zhihua Wang, Digital Calibration Technique for Subrange ADC Based on SAR Architecture, ISNE 2016, pp. 1 - 2, 2016.
[225] Peiyi Li,Zheng Song,Jianfu Lin,Meng Wei,Feng Guo,Wen Jia,Zhihua Wang,Baoyong Chi, A Reconfigurable Digital Polar Transmitter with Open-loop Phase Modulation for Sub-GHz Applications, ISIE 2016, pp. 1158 - 1161, 2016.
[226] Feng Guo,Chun Zhang ,Yan Yan,Peiyi Li,Zhihua Wang, Measurement of Three-Dimensional Deformation and Load Using Vision-based Tactile Sensor, ISIE 2016, pp. 1252 - 1257, 2016.
[227] Jing Xu,Zhaoyang Weng,Hanjun Jiang,Chun Zhang,Zhihua Wang,Qingliang Lin, A High Efficiency Single-Inductor Dual-Output Buck Converter with Adaptive Freewheel Current and Hybrid Mode Control, ISCAS 2016, pp. 1614 - 1617, 2016.
[228] Yang Yang,Xiang Xie,Guolin Li,Huanhuan Li,Yadong Huang,Zhihua Wang, The Coil Design of High Efficiency Energy Receiving Coil for Micro-Ball Endoscopy, ISCAS 2016, pp. 1814 - 1817, 2016.
[229] Han Liu,Woogeun Rhee,Zhihua Wang, A 10.3mW 13.6GHz Phase-Locked Loop with Boosted Gm Two-Stage Ring VCO, ICSICT 2016, 2016.
[230] Naiwen Zhou,Ke Huang,Fangxu Lv,Ziqiang Wang,Chun Zhang,Zhihua Wang, A 76 mW 40-Gb/s SerDes Transmitter With 64:1 MUX In 65-nm CMOS Technology, ICEIEC 2016, pp. 155 - 158, 2016.
[231] Xuqiang Zheng,Chun Zhang,Fangxu Lv,Feng Zhao,Shigang Yue,Ziqiang Wang,Fule Li,Zhihua Wang, A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based FFE in 65 nm CMOS , ESSCIRC 2016, pp. 305 - 308, 2016.
[232] Zhe Cao,Shaojie Su,Hong Chen,Hao Tang,Yixin Zhou,Zhihua Wang, Pose measurement of Anterior Pelvic Plane based on inertial measurement unit in total hip replacement surgeries, EMBC 2016, pp. 5801 - 5804, 2016.
[233] Yifan Yang,Amr Ahmed,Shigang Yue,Xiang Xie,Hong Chen,Zhihua Wang, An Algorithm for Accurate Needle Orientation, EMBC 2016, pp. 5095 - 5098, 2016.
[234] Ranran Zhou,Yining Zhang,Woogeun Rhee,Zhihua Wang, 2.4GHz 20Mb/s FSK Receiver Front-End and Transmitter Modulation PLL Design for Energy-Efficient Short-Range Communication, EDSSC 2016, 2016.
[235] Meng Ni,Fule Li,Jia Zhou,Zhijun Wang,Chun Zhang,Xian Tang,Zhihua Wang, A 12Bit 800MS/s time-interleaving pipeline ADC in 65nm CMOS, EDSSC 2016, pp. 391 - 394, 2016.
[236] Shaojie Su,Guangli Jiang,Hong Chen,Zhihua Wang, Color Based Segmentation in Monocular System for Prosthesis Pose Estimation during Total Hip Replacement Surgery, BioCAS 2016, pp. 320 - 323, 2016.
[237] Yao Lu,Hanjun Jiang,Songping Mai,Zhihua Wang, A wireless charging circuit with high power efficiency and security for implantable devices, BioCAS 2016, pp. 328 - 331, 2016.
[238] Yining Zhang,Ranran Zhou,Woogeun Rhee,Zhihua Wang, A 1.9mW 750kb/s 2.4GHz F-OOK transmitter with symmetric FM template and high-point modulation PLL, A-SSCC 2016, pp. 277 - 280, 2016.
[239] Jing Chen,Hanjun Jiang,Naihao Xu,Zhihua Wang, Accelerometer aided ECG motion artifact detection and removal method, Transducer and Microsystem Technologies, Vol.35, No.1, 2015.
[240] Yujia Zhao,Hanjun Jiang,Yang Zhang,Zhihua Wang, A Novel Ultra-Low Power Temperature Sensor IC with High Precision, Microelectronics & Computer, Vol.32, No.12, pp. 40-43, 2015.
[241] Weidong Cao,Chenlong Hou,Jinxing Guo,Yilin Song,Ziqiang Wang,Hanjun Jiang,Zhihua Wang, The Design and Implementation of 20GHz VCO, Microelectronics, Vol.45, No.5, pp. 577 - 580, 2015.
[242] Ya Wang,Chunying Xue,Fule Li,Chun Zhang,Zhihua Wang, A low power 11-bit 100MS/s SAR ADC IP, Journal of Semiconductors, Vol.36, No.2, pp. 025003-5, 2015.
[243] Jia Zhou,Lili Xu,Fule Li,Zhihua Wang, A 10-bit 120-MS/s pipelined ADC with improved switch and layout scaling strategy, Journal of Semiconductors, Vol.36, No.8, 2015.
[244] Xiaobao Yu,Siyang Han,Zongming Jin,Zhihua Wang,Baoyong Chi, A Class-C VCO Based Σ-Δ Fraction-N Frequency Synthesizer with AFC for 802.11ah Applications, Journal of Semiconductors, Vol.36, No.9, 2015.
[245] Qi Peng,Chun Zhang,Xijin Zhao,Zhihua Wang, A UHF RFID system with on-chip-antenna tag for short range communication, Journal of Semiconductors, Vol.36, No.5, 2015.
[246] Ya Wang,Chunying Xue,Fule Li,Chun Zhang,Zhihua Wang, A low power 11-bit 100 MS/s SAR ADC IP, Journal of Semiconductors, Vol.36, No.2, pp. 025003-1-5, 2015.
[247] Xinwang Zhang,Bingqiao Liu,Zhihua Wang,Baoyong Chi, An LP/CBP reconfigurable analog baseband circuit for software-defined radio receivers in 65 nm CMOS, Microelectronics Journal, Vol.46, No.1, pp. 81 - 95, 2015.
[248] Weitao Li,Fule Li,Changyi Yang,Minzeng Li,Zhihua Wang, A power-efficient reference buffer with wide swing for switched-capacitor ADC, Microelectronics Journal, Vol.46, No.5, pp. 410 - 414, 2015.
[249] Yun Yin,Baoyong Chi,Zhigang Sun,Xinwang Zhang,Zhihua Wang, A 0.1-6.0-GHz dual-path SDR transmitter supporting intraband carrier aggregation in 65-nm CMOS, IEEE Transactions on Very Large Scale Integration Systems, Vol.23, No.5, pp. 944 - 957, 2015.
[250] Yun Yin,Xiaobao Yu,Zhihua Wang,Baoyong Chi, An efficiency-enhanced stacked 2.4-GHz CMOS power amplifier with mode switching scheme for WLAN applications, IEEE Transactions on Microwave Theory and Techniques, Vol.63, No.2, pp. 672 - 682, 2015.
[251] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A W-Band Power Amplifier Utilizing a Miniaturized Marchand Balun Combiner, IEEE Transactions on Microwave Theory and Techniques, Vol.63, No.2, pp. 719 - 725, 2015.
[252] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A 47.6-to-71.0 GHz 65-nm CMOS VCO Based on Magnetically Coupled pi-Type LC Network, IEEE Transactions on Microwave Theory and Techniques, Vol.63, No.5, pp. 1645 - 1657, 2015.
[253] Qi Peng,Chun Zhang,Xijin Zhao,Xuguang Sun,Fule Li,Hong Chen,Zhihua Wang, A Low-Cost UHF RFID System With OCA Tag for Short-Range Communication, IEEE Transactions on Industrial Electronics, Vol.62, No.7, pp. 4455 - 4465, 2015.
[254] Yudong Zhang,Woogeun Rhee,Taeik Kim,Hojin Park,Zhihua Wang, A 0.35–0.5-V 18–152 MHz Digitally Controlled Relaxation Oscillator With Adaptive Threshold Calibration in 65-nm CMOS, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.62, No.8, pp. 736 - 740, 2015.
[255] Lixue Kuang,Baoyong Chi,Haikun Jia,Wen Jia,Zhihua Wang, A 60-GHz CMOS dual-mode power amplifier with efficiency enhancement at low output power, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.62, No.4, pp. 352 - 356, 2015.
[256] Xinwang Zhang,,Baoyong Chi,Zhihua Wang, A 0.1-1.5 GHz Harmonic Rejection Receiver Front-End With Phase Ambiguity Correction, Vector Gain Calibration and Blocker-Resilient TIA, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.62, No.4, pp. 1005 - 1014, 2015.
[257] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 80mW 40Gb/s Transmitter with Automatic Serializing Time Window Search and 2-tap Pre-emphasis in 65nm CMOS Technology, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.62, No.5, pp. 1441 - 1450, 2015.
[258] Dan Wang,Xiang Xie,Guolin Li,Zheng Yin,Zhihua Wang, A Lumen Detection-Based Intestinal Direction Vector Acquisition Method for Wireless Endoscopy Systems, IEEE Transactions on Biomedical Engineering, Vol.62, No.3, pp. 807 - 819, 2015.
[259] Hong Chen,Jiyang Gao,Shaojie Su,Xu Zhang,Zhihua Wang, A Visual-Aided Wireless Monitoring System Design for Total Hip Replacement Surgery, IEEE Transactions on Biomedical Circuits and Systems, Vol.9, No.2, pp. 227 - 236, 2015.
[260] Yingke Gu,Xiang Xie,Guolin Li,Tianjia Sun,Dan Wang,Zheng Yin,Pengfei Zhang,Zhihua Wang, Design of Endoscopic Capsule With Multiple Cameras, IEEE Transactions on Biomedical Circuits and Systems, Vol.9, No.4, pp. 590 - 602, 2015.
[261] Ni Xu,Woogeun Rhee,Zhihua Wang, A 2 GHz 2 Mb/s Semi-Digital 2+-Point Modulator With Separate FIR-Embedded 1-Bit DCO Modulation in 0.18 μm CMOS, IEEE Microwave and Wireless Components Letters, Vol.25, No.4, pp. 253 - 255, 2015.
[262] Shuli Geng,Dang Liu,Yanfeng Li,Huiying Zhuo,Woogeun Rhee,Zhihua Wang, A 13.3 mW 500 Mb/s IR-UWB Transceiver With Link Margin Enhancement Technique for Meter-Range Communications, IEEE Journal of Solid-State Circuits, Vol.50, No.3, pp. 669 - 678, 2015.
[263] Xiaobao Yu,Meng Wei,Yun Yin,Ying Song,Siyang Han,Qiongbing Liu,Zongming Jin,Xiliang Liu,Zhihua Wang, Yichuang Sun, Baoyong Chi, A Fully-Integrated Reconfigurable Dual-Band Transceiver for Short Range Wireless Communications in 180 nm CMOS, IEEE Journal of Solid-State Circuits, Vol.50, No.11, pp. 2572 - 2590, 2015.
[264] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Wen Jia,Liji Wu,Chun Zhang,Zhihua Wang, 10 Gbit/s serial link receiver with speculative decision feedback equaliser using mixed-signal adaption in 65 nm CMOS technology, Electronics Letters, Vol.51, No.21, pp. 1645 - 1647, 2015.
[265] Xiaobao Yu,Ying Song,Zhihua Wang,Baoyong Chi, Self-tuned SAW-less GNSS receiver front end with blocker filtering and gain-irrelevant DC offset cancellation, Electronics Letters, Vol.51, No.8, pp. 653 - 654, 2015.
[266] Weitao Li,Fule Li,Changyi Yang,Shengjing Li, Zhihua Wang, A 85mW 14-bit 150MS/s Pipelined ADC with a Merged First and Second MDAC, China Communications, Vol.12, No.5, pp. 14 - 21, 2015.
[267] Xican Chen,Woogeun Rhee,Zhihua Wang, Low power sensor design for IoT and mobile healthcare applications, China Communications, Vol.12, No.5, pp. 42 - 54, 2015.
[268] Weitao Li,Fule Li,Changyi Yang,Shengjing Li,Zhihua Wang, An 85mW 14-bit 150MS/s pipelined ADC with a merged first and second MDAC, China Communications, Vol.12, No.5, pp. 14 - 21, 2015.
[269] Dake Liu,Zhihua Wang,Li Luo, Guest editorial: Special issue on Communication IC, China Communications, Vol.12, No.5, pp. iii - vi, 2015.
[270] Haikun Jia,Baoyong Chi,Lixue Kuang,Xiaobao Yu,Lei Chen,Wei Zhu,Meng Wei,Zheng Song,Zhihua Wang, Research on CMOS Mm-Wave Circuits and Systems for Wireless Communications, China Communications, Vol.12, No.5, pp. 1 - 13, 2015.
[271] Yanfeng Li,Yutao Liu,Woogeun Rhee,Zhihua Wang, A high-PSRR ADPLL with self-regulated GRO TDC and DCO-dedicated voltage regulator, VLSI-DAT 2015, pp. 1 - 4, 2015.
[272] Xuyang Wang,Yangdong Deng,Guiju Zhang,Zhihua Wang, Apparent resolution enhancement for near-eye light field display, Siggraph Asia 2015, No.4 , 2015.
[273] Yudong Zhang,Woogeun Rhee,Zhihua Wang,Taeik Kim,Hojin Park, A 0.55V 100MHz ADPLL with ΔΣ LDO and Relaxation DCO in 65nm CMOS, RFIT 2015, pp. 190 - 192, 2015.
[274] Ni Xu,Sitao Lv,Woogeun Rhee,Zhihua Wang, A digital-intensive F/PLL-based two-point modulator with a constant-gain DCO for linear FMCW generation, RFIT 2015, pp. 193 - 195, 2015.
[275] Xiaobao Yu,Meng Wei,Yun Yin,Baoyong Chi,Zhihua Wang, A Sub-GHz low-power transceiver with PAPR-tolerant power amplifier for 802.11ah applications, RFIC 2015, pp. 231 - 234, 2015.
[276] Shengjing Li,Weitao Li,Fule Li,Zhihua Wang,Chun Zhang, A digital blind background calibration algorithm for pipelined ADC, NEWCAS 2015, pp. 1 - 4, 2015.
[277] Weitao Li,Fule Li,Ya Wang,Shengjing Li,Chun Zhang,Zhihua Wang, A power-efficient 14-bit 250MS/s pipelined ADC, NEWCAS 2015, pp. 1 - 4, 2015.
[278] Weidong Cao,Ziqiang Wang,Dongmei Li,Xuqiang Zheng,Ke Huang,Shuai Yuan,Fule Li,Zhihua Wang, A 40Gb/s 27mW 3-tap Closed-loop Decision Feedback Equalizer in 65nm CMOS, NEWCAS 2015, pp. 1 - 4, 2015.
[279] Heng Liu,Hanjun Jiang,Yiyu Shen,Woogeun Rhee,Zhihua Wang, A Delta-Sigma-Based Transmitter Utilizing FIR Embedded Digital Power Amplifiers, MWSCAS 2015, 2015.
[280] Jifang Wu,Fule Li,Weitao Li,Chun Zhang,Zhihua Wang, A 14-bit 200MS/s low-power pipelined flash-SAR ADC, MWSCAS 2015, pp. 1 - 4, 2015.
[281] Weidong Cao,Ziqiang Wang,Dongmei Li,Xuqiang Zheng,Fule Li,Chun Zhang,Zhihua Wang, A 40Gb/s 39mW 3-tap Adaptive Closed-loop Feedback Equalizer in 65nm CMOS, MWSCAS 2015, pp. 1 - 4, 2015.
[282] Xiangyu zhong,Geroge Sun,Wenshen Wang,Zhihua Wang, A wide tuning 10 Ghz VCO and a high frequency divider design, IWS 2015, pp. 221 - 224, 2015.
[283] Xiaoyong Li,Sitao Lv,Xiaofeng Liu,Ni Xu,Woogeun Rhee,Wen Jia,Zhihua Wang, A 10 Mb/s Hybrid Two-Point Modulator with Front-End Phase Selection and Dual-Path DCO Modulation, IWS 2015, pp. 1 - 4, 2015.
[284] Zhiqiang Huang,Luong, H.C.,Baoyong Chi,Zhihua Wang,Haikun Jia, A 70.5-to-85.5GHz 65nm Phase-Locked Loop with Passive Scaling of Loop Filter, ISSCC 2015, pp. 1 - 3, 2015.
[285] Heng Liu,Hanjun Jiang,Kai Yang,Zhexiang Chi,Fule Li,Chun Zhang,Zhihua Wang, A Fully Integrated Wireless SoC for In-Body pH and Temperature Continuous Monitoring, ISOCC 2015, 2015.
[286] Xiaoyong Li,Woogeun Rhee,Wen Jia,Zhihua Wang, A multi-bit FIR filtering technique for two-point modulators with dedicated digital high-pass modulation path, ISCAS 2015, pp. 894 - 897, 2015.
[287] Ya Wang,Fule Li,Chunying Xue,Zhihua Wang, Charge-compensation-based reference technique for switched-capacitor ADCs, ISCAS 2015, pp. 2257 - 2260, 2015.
[288] Jinghui Liu,Songping Mai,Chun Zhang,Zhihua Wang, A high-voltage, energy-efficient, 4-electrode output stage for implantable neural stimulator, ISCAS 2015, pp. 762 - 765, 2015.
[289] Xinwang Zhang,Yichuang Sun,Zhihua Wang,Baoyong Chi, A 0.5–30GHz wideband differential CMOS T/R switch with independent bias and leakage cancellation techniques, ISCAS 2015, pp. 449 - 452, 2015.
[290] Shaojie Su,Jiyang Gao,Hong Chen,Zhihua Wang, Design of a Computer-Aided Visual System for Total Hip Replacement Surgery, ISCAS 2015, pp. 786 - 789, 2015.
[291] Jingjing Dong,Hanjun Jiang,Zhaoyang Weng,Jingyi Zheng,Chun Zhang,Zhihua Wang, A Fast AGC Method for Multimode Zero-IF/Sliding-IF WPAN/Ban Receivers, ISCAS 2015, pp. 1310 - 1313, 2015.
[292] Yiyu Shen,Woogeun Rhee,Zhihua Wang, A digital power amplifier with FIR-embedded 1-Bit high-order ΔΣ modulation for WBAN polar transmitters, ISCAS 2015, pp. 662 - 665, 2015.
[293] Yang Yang,Xiang Xie,Guolin Li,Yadong Huang,Zhihua Wang, A combined transmitting coil design for high efficiency WPT of endoscopic capsule, ISCAS 2015, pp. 97 - 100, 2015.
[294] Zhihua Wang,Hanjun Jiang, Developing innovation — Wireless transceiver design for implantable medical devices, IMWS-BIO 2015 , pp. 16 - 17, 2015.
[295] Jun Li,Ni Xu,Yuanfeng Sun,Rhee,W.,Zhihua Wang, A 6.5mW, wide band dual-path LC VCO design with mode switching technique in 130nm CMOS, IEEE SiRF 2015, pp. 7 - 10, 2015.
[296] Haikun Jia,Baoyong Chi,Zhihua Wang, An 8.2 GHz triple coupling low-phase-noise class-F QVCO in 65nm CMOS, ESSCIRC 2015, pp. 124 - 127, 2015.
[297] Shuai Yuan,Liji Wu,Ziqiang Wang,Xuqiang Zheng,Peng Wang,Wen Jia,Chun Zhang,Zhihua Wang, A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive DFE using hybrid alternate clock scheme and baud-rate CDR in 65nm CMOS, ESSCIRC 2015, pp. 144 - 147, 2015.
[298] Jiyang Gao,Shaojie Su,Hong Chen,Zhihua Wang, Orientation and depth estimation for femoral components using image sensor, magnetometer and inertial sensors in THR surgeries, EMBC 2015, pp. 2737 - 2740, 2015.
[299] Shihao You,Fule Li,Chun Zhang,Zhihua Wang, High speed serial interface transmitter controller based on JESD204B for 1GSPS ADCs, EDSSC 2015, pp. 87 - 90, 2015.
[300] Weidong Cao,Ziqiang Wang,Dongmei Li,Fule Li,Zhihua Wang, A 40Gb/s Adaptive Equalizer with Amplitude Approaching Technique in 65nm CMOS, EDSSC 2015, pp. 451 - 454, 2015.
[301] Weidong Cao,Xuqiang Zheng,Ziqiang Wang,Dongmei Li,Fule Li,Shigang Yue,Zhihua Wang, A 15Gb/s Wireline Repeater in 65nm CMOS Technology, EDSSC 2015, pp. 590 - 593, 2015.
[302] Chun Zhao,Chun Zhang,Xijin Zhao,Hong Chen,Zhihua Wang, Time Difference of Arrival Estimation Based on Wavelet Transform, EDSSC 2015, pp. 515 - 518, 2015.
[303] Ping Chen,Chun Zhang,Hanjun Jiang,Zhihua Wang,Shigang Yue, High performance low complexity BCH error correction circuit for SSD controllers, EDSSC 2015, pp. 217 - 220, 2015.
[304] Ke Huang,Deng Luo,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 190mW 40Gbps SerDes Transmitter and Receiver Chipset in 65nm CMOS Technology, CICC 2015, pp. 1 - 4, 2015.
[305] Yun Yin,Yanqiang Gao,Zhihua Wang,Baoyong Chi, A 0.1–5.0GHz self-calibrated SDR transmitter with −62.6dBc CIM3 in 65nm CMOS, CICC 2015, pp. 1 - 4, 2015.
[306] Shuai Yuan,Liji Wu,Ziqiang Wang,Xuqiang Zheng,Wen Jia,Chun Zhang,Zhihua Wang, A 4×20-Gb/s 0.86pJ/b/lane 2-tap-FFE source-series-terminated transmitter with far-end crosstalk cancellation and divider-less clock generation in 65nm CMOS[C], CICC 2015, pp. 1 - 4, 2015.
[307] Shaojie Su,Jiyang Gao,Zhe Weng,Hong Chen,Zhihua Wang, Live demonstration: A smart trial for hip range of motion estimation in total hip replacement surgery, BioCAS 2015 , pp. 1 - 5, 2015.
[308] Yue Yin,Wendi Yang,Hanjun Jiang,Zhihua Wang, Bowel sound based digestion state recognition using artificial neural network, BioCAS 2015, pp. 1 - 4, 2015.
[309] Shaojie Su,Jiyang Gao,Zhe Cao,Hong Chen,Zhihua Wang, Smart trail with camera and inertial measurement unit for intraoperative estimation of hip range of motion in total hip replacement surgery, BioCAS 2015, pp. 1 - 4, 2015.
[310] Meng Ni,Fule Li,Weitao Li,Chun Zhang,Zhihua Wang, A High-Speed analog front-end circuit used in a 12bit 1GSps Pipeline ADC, ASICON 2015, pp. 1 - 4, 2015.
[311] Chao Yang,Shaoquan Gao,Jingjing Dong,Hanjun Jiang,Woogeun Rhee,Zhihua Wang, A 2.4 GHz two-point Δ-Σ modulator with gain calibration and AFC for WPAN/BAN applications, ASICON 2015, pp. 1 - 4, 2015.
[312] Zuozhao Li,Chun Zhang,Tuo Xie,Zhihua Wang, Wireless Signal Recorder System Based on the Software Radio, Microelectronics & Computer, Vol.31, No.12, pp. 123 - 127, 2014.
[313] Yutao Liu,Woogeun Rhee,Zhihua Wang, Microelectronics, Vol.44, No.3, pp.1, 2014.
[314] Ning Xu,Fule Li,Chun Zhang,Zhihua Wang, An IP-oriented 11-bit 160 MS/s 2-channel current-steering DAC, Journal of Semiconductors, Vol.35, No.12, pp. 125011-1-5, 2014.
[315] Minzeng Li,Fule Li,Chun Zhang,Zhihua Wang, Pixel-level A/D conversion using voltage reset technique, Journal of Semiconductors, Vol.35, No.11, pp. 115009-1-5, 2014.
[316] Cong Shi,Zhe Chen,Jie Yang,Nanjian Wu,Zhihua Wang, A compact PE memory for vision chips, Journal of Semiconductors, Vol.35, No.9, pp. 095002-1-7, 2014.
[317] Siyang Han,Baoyong Chi,Xinwang Zhang,Zhihua Wang, A power scalable PLL frequency synthesizer for high-speed Δ-∑ ADC, Journal of Semiconductors, Vol.35, No.8, pp. 085002-1-6, 2014.
[318] Lixue Kuang,Baoyong Chi,Lei Chen,Wen Jia,Zhihua Wang, A fully-differential phase-locked loop frequency synthesizer for 60-GHz wireless communication, Journal of Semiconductors, Vol.35, No.12, pp. 125002-1-6, 2014.
[319] Wei Zhu,Baoyong Chi,Lixue Kuang,Wen Jia,Zhihua Wang, An inductorless CMOS programmable-gain amplifier with a>3 GHz bandwidth for 60 GHz wireless transceivers, Journal of Semiconductors, Vol.35, No.10, pp. 105001-1-6, 2014.
[320] Jingjing Dong,Hanjun Jiang,Lingwei Zhang,Jianjun Wei,Fule Li,Chun Zhang,ZhiHua Wang, A low-power DC offset calibration method independent of IF gain for zero-IF receiver, Science China-Information Sciences, Vol.57, No.10, pp 1 - 10, 2014.
[321] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A 77 GHz FMCW radar transmitter with reconfigurable power amplifier in 65 nm CMOS, Microelectronics Journal, Vol.45, No.7, pp. 898 - 903, 2014.
[322] Shuai Mu,Yandong Deng,Yubei Chen,Huaiming Li,Jianming Pan,Wenjun Zhang,Zhihua Wang, Orchestrating Cache Management and Memory Scheduling for GPGPU Applications, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.22, No.8, pp. 1803 - 1814, 2014.
[323] Lixue Kuang,Xiaobao Yu,Haikun Jia,Lei Chen,Wei Zhu,Meng Wei,Zheng Song,Zhihua Wang,Baoyong Chi, A fully-integrated 60-GHz 5-Gb/s QPSK transceiver with T/R switch in 65-nm CMOS, IEEE Transactions on Microwave Theory and Techniques, Vol.62, No.12, pp. 3131 - 3145, 2014.
[324] Lixue Kuang,Baoyong Chi,Haikun Jia,Zuochang Ye,Wen Jia,Zhihua Wang, Co-Design of 60-GHz Wideband Front-End IC With On-Chip T/R Switch Based on Passive Macro-Modeling, IEEE Transactions on Microwave Theory and Techniques, Vol.62, No.11, pp. 2743 - 2754, 2014.
[325] Qi Peng,Chun Zhang,Zhihua Wang, A Multi-Tag Emulator for the UHF RFID System, IEEE Transactions on Instrumentation and Measurement, Vol.63, No.6, pp. 1461 - 1469, 2014.
[326] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A 38- to 40-GHz Current-Reused Active Phase Shifter Based on the Coupled Resonator, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.61, No.12, pp. 917 - 921, 2014.
[327] Yun Yin,Baoyong Chi,Zhaokang Xia,Zhihua Wang, A Reconfigurable Dual-Mode CMOS Power Amplifier With Integrated T/R Switch for 0.1–1.5-GHz Multistandard Applications, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.61, No.7, pp. 471 - 475, 2014.
[328] Xican Chen,Wei Zhang,Woogeun Rhee,Zhihua Wang, A ΔΣ-TDC-Based Beamforming Method for Vital Sign Detection Radar Systems, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.61, No.12, pp. 932 - 936, 2014.
[329] Xu Zhang,Ming Liu,Bo Wang,Hong Chen,Zhihua Wang, A Wide Measurement Range and Fast Update Rate Integrated Interface for Capacitive Sensors Array, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.61, No.1, pp. 2 - 11, 2014.
[330] Yutao Liu,Yizhi Han,Woogeun Rhee,Tae-Young Oh,Zhihua Wang, A PSRR Enhancing Method for GRO TDC Based Clock Generation Systems, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.61, No.3, pp. 680 - 688, 2014.
[331] Yun Yin,Baoyong Chi,Yanqiang Gao,Xiaodong Liu,Zhihua Wang, A 0.1–5.0 GHz Reconfigurable Transmitter With Dual-Mode Power Amplifier and Digitally-Assisted Self-Calibration for Private Network Communications, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.61, No.11, pp. 3266 - 3277, 2014.
[332] Jun Hu,Guolin Li,Xiang Xie,Zhong Lv,Zhihua Wang, Bare-fingers Touch Detection by the Button's Distortion in a Projector-Camera System, IEEE Transactions on Circuits and Systems for Video Technology, Vol.24, No.4, pp. 566 - 575, 2014.
[333] Cong Shi,Jie Yang,Ye Han,Zhongxiang Cao,Qi Qin,Liyuan Liu,Nan-Jian Wu,Zhihua Wang, A 1000 fps Vision Chip Based on a Dynamically Reconfigurable Hybrid Architecture Comprising a PE Array Processor and Self-Organizing Map Neural Network, IEEE Journal of Solid-State Circuits, Vol.49, No.9, pp. 2067 - 2082, 2014.
[334] Zhihua Wang, Introduction to the Special Section on the 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE Journal of Solid-State Circuits, Vol.49, No.11, pp. 2375 - 2376, 2014.
[335] Ni Xu,Woogeun Rhee,Zhihua Wang, A Hybrid Loop Two-Point Modulator Without DCO Nonlinearity Calibration by Utilizing 1 Bit High-Pass Modulation, IEEE Journal of Solid-State Circuits, Vol.49, No.10, pp. 2172 - 2186, 2014.
[336] Ying Zhou,Dan Wang,Xiang Xie,Yiyi Ren,Guolin Li,Yangdong Deng,Zhihua Wang, A Fast and Accurate Segmentation Method for Ordered LiDAR Point Cloud of Large-Scale Scenes, IEEE Geoscience and Remote Sensing Letters, Vol.11, No.11, pp. 1981 - 1985, 2014.
[337] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, 2-tap pre-emphasis SST transmitter with skin effect loss equalisation in 65 nm CMOS technology, Electronics Letters, Vol.50, No.25, pp. 1910 - 1912, 2014.
[338] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, 2 GHz sub-harmonically injectin-locked PLL with mixer-based injection timing control in 0.18 μm CMOS technology, Electronics Letters, Vol.50, No.12, pp. 855 - 857, 2014.
[339] Yun Yin,Baoyong Chi,Zhihua Wang, Efficiency-enhanced self-biased PA driver for multi-standard applications, Electronics Letters, Vol.50, No.13, pp. 927 - 928, 2014.
[340] Wei Song,Xiang Xie,Guolin Li,Zhihua Wang, Flexible method to calibrate projector–camera systems with high accuracy, Electronics Letters, Vol.50, No.23, pp. 1685 - 1687, 2014.
[341] Xiangyu Meng,Baoyong Chi,Haikun Jia,Lixue Kuang,Zhihua Wang, 142 GHz amplifier with 18.5 dB gain and 7.9 mW DC power in 65 nm CMOS, Electronics Letters, Vol.50, No.21, pp. 1513 - 1514, 2014.
[342] Ziqiang Wang,Hui Jiang,Chun Zhang,Hanjun Jiang,Zhihua Wang, A chopper current feedback instrument amplifier with bandpass amplification stage, Analog Integrated Circuits and Signal Processing, Vol.81, No.3, pp. 763 - 775, 2014.
[343] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Liji Wu,Chun Zhang,Zhihua Wang, A 9-Gb/s quarter-rate 4-tap decision feedback equalizer in 0.18-mu m CMOS technology, Analog Integrated Circuits and Signal Processing, Vol.81, No.3, pp. 777 - 788, 2014.
[344] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Kunzhi Yu,Chun Zhang,Zhihua Wang, A 5+ 1-lane 3-10 Gbps 3.5 mW/Gb/s source synchronous receiver in 65 nm CMOS technology, Analog Integrated Circuits and Signal Processing, Vol.80, No.3, pp. 519 - 529, 2014.
[345] Kai Yang,Hanjun Jiang,Wendi Yang,Frederic Mes,Chun Zhang,Zhihua Wang,Qingliang Lin,Wen Jia, Lifetime tracing of cardiopulmonary sounds with low-power sound sensor stick connected to wireless mobile network, Analog Integrated Circuits and Signal Processing, Vol.81, No.3, pp. 623 - 634, 2014.
[346] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Liji Wu,Chun Zhang,Zhihua Wang, A 9-Gb/s quarter-rate 4-tap decision feedback equalizer in 0.18-μm CMOS technology, Analog Integrated Circuits & Signal Processing, Vol.81, No.3, pp.777 - 788, 2014.
[347] Wei Zhang,Yizhi Han,Fei Chen,Bo Zhou,Xican Chen,Woogeun Rhee,Zhihua Wang, A 3.5–4GHz FMCW radar transceiver design with phase-domain oversampled ranging by utilizing a 1-bit ΔΣ TDC, VLSI-DAT 2014, pp. 1 - 4, 2014.
[348] Huiying Zhuo,Yu Li,Woogeun Rhee,Zhihua Wang, A 1.5GHz all-digital frequency-locked loop with 1-bit ΔΣ frequency detection in 0.18μm CMOS, VLSI-DAT 2014, pp. 1 - 4, 2014.
[349] Yu Li,Fei Chen,Dang Liu,Xiaoyong Li,Yang Li,Yudong Zhang,Zhicheng Wang,Woogeun Rhee,Zhihua Wang, A 1.6Mb/s 3.75–4.25GHz chirp-UWB transceiver with enhanced spectral efficiency in 0.18μm CMOS, RFIT 2014, pp. 1 – 3, 2014.
[350] Yang Xu,Zehong Zhang,Baoyong Chi,Qiongbing Liu,Xinwang Zhang,Zhihua Wang, Dual-mode 10MHz BW 4.8/6.3mW reconfigurable lowpass/complex bandpass CT ΣΔ modulator with 65.8/74.2dB DR for a zero/low-IF SDR receiver, RFIC 2014, pp. 313 – 316, 2014.
[351] Jiyang Gao,Shaojie Su,Hong Chen,Hanjun Jiang,Chun Zhang,Zhihua Wang,Hao Tang,Yinxin Zhou, Estimation of the relative pose of the femoral and acetabular components in a visual aided system for total hip replacement surgeries, NEWCAS 2014, pp. 81 - 84, 2014.
[352] Xinwang Zhang,Baoyong Chi,Bingqiao Liu,Zhihua Wang, -80dBm~0dBm dynamic range, 30mV/dB detection sensitivity piecewise RSSI for SDR/CR receivers, MWSCAS 2014, pp. 89 - 92, 2014.
[353] Qing Yang,Songping Mai,Yixin Zhao,Zhijun Wang,Chun Zhang,Zhihua Wang, An on-chip security guard based on zero-power authentication for implantable medical devices, MWSCAS 2014, pp. 531 - 534, 2014.
[354] Zhexiang Chi,Hanjun Jiang,Jingpei Xia,Heng Liu,Zhaoyang Weng,Jingjing Dong,Kai Yang,Zhihua Wang, A smart capsule for in-body pH and temperature continuous monitoring, MWSCAS 2014, pp. 314 - 317, 2014.
[355] Fei Chen,Yu Li,Dang Liu,Woogeun Rhee,Jongjin Kim,Dongwook Kim,Zhihua Wang, A 1mW 1Mb/s 7.75-to-8.25GHz chirp-UWB transceiver with low peak-power transmission and fast synchronization capability, ISSCC 2014, pp. 162 - 163, 2014.
[356] Shuli Geng,Dang Liu,Yanfeng Li,Huiying Zhuo,Woogeun Rhee,Zhihua Wang, A 13.3mW 500Mb/s IR-UWB Transceiver with Link-Margin Enhancement Technique for Meter-Range Communications, ISSCC 2014, pp. 160 - 161, 2014.
[357] Cong Shi,Jie Yang,Ye Han,Zhongxiang Cao,Qi Qin,Liyuan Liu,Nanjian Wu,Zhihua Wang, A 1000fps Vision Chip Based on a Dynamically Reconfigurable Hybrid Architecture Comprising a PE Array and Self-Organizing Map Neural Network, ISSCC 2014, pp. 128 - 129, 2014.
[358] Zhou Peng,Chenxi Han,Dongmei Li,Zhihua Wang, A 6bit 550Ms/s Small Area Low Power Successive Approximation ADC, ISOCC 2014, pp. 200 - 201, 2014.
[359] Jianlong Zhang,Dan Wang,Xiang Xie,Guolin Li,Yingke Gu,Zhihua Wang, A low-complexity intestinal lumen detection method for wireless endoscopy images, ISCAS 2014, pp. 2061 - 2064, 2014.
[360] Hong Chen,Shaojie Su,Zhihua Wang,Xu Zhang, A wirelessly monitoring system design for Total Hip Replacement surgery, ISCAS 2014, pp. 2069 - 2072, 2014.
[361] Xilei Cai,Xiang Xie,Guolin Li,Wei Song,Yi Zheng,Zhihua Wang, A new method of detecting fingertip touch for the projector-camera HCI system, ISCAS 2014, pp. 526 - 529, 2014.
[362] Wendi Yang,Kai Yang,Hanjun Jiang,Zhihua Wang,Qingliang Lin,Wen Jia, Fetal heart rate monitoring system with mobile internet, ISCAS 2014, pp. 443 - 446, 2014.
[363] Yanfeng Li,Ni Xu,Woogeun Rhee,Zhihua Wang, A 2.5GHz ADPLL with PVT-insensitive ΔΣ dithered time-to-digital conversion by utilizing an ADDLL, ISCAS 2014, pp. 1440 - 1443, 2014.
[364] Dang Liu,Shuli Geng,Woogeun Rhee,Zhihua Wang, A high efficiency robust IR-UWB receiver design for high data rate CM-range communications, ISCAS 2014, pp. 1901 - 1904, 2014.
[365] Yiyu Shen,Xican Chen,Woogeun Rhee,Zhihua Wang, A second-order multi-bit ΔΣ TDC for high resolution IR-UWB radar systems, IEEE-IWS 2014, pp. 1 - 4, 2014.
[366] Yu Li,Fei Chen,Woogeun Rhee,Zhihua Wang, A chirp-UWB transceiver with embedded bulk PPM for energy efficient data transmission, IEEE-IWS 2014, pp. 1 - 4, 2014.
[367] Zhicheng Wang,Xican Chen,Yiyu Shen,Woogeun Rhee,Zhihua Wang, A 2.5–4.5 GHz CMOS fast settling PLL for IR-UWB radar applications, ICSICT 2014, pp. 1 - 3, 2014.
[368] Zhicheng Wang,Xican Chen,Yiyu Shen,Woogeun Rhee,Zhihua Wang, A 3.1–4.8-GHz delay-line-based frequency-hopping IR-UWB transmitter in 65-NM CMOS technology, ICSICT 2014, pp. 1 - 3, 2014.
[369] Chunying Xue,Ya Wang,Fule Li,Chun Zhang,Zhihua Wang, An 11-bit 200MS/s SAR ADC IP for Wireless Communication SOC, ICSICT 2014, pp. 1 - 3, 2014.
[370] Jifang Wu,Fule Li,Weitao Li,Chun Zhang,Zhihua Wang, A 14b 200MHz power-efficient pipelined flash-SAR ADC, ICSICT 2014, pp. 1 - 3, 2014.
[371] Attar A.,Xiang Xie,Chun Zhang,Zhihua Wang,Shigang Yue, Wireless Micro-Ball endoscopic image enhancement using histogram information, EMBC 2014, pp. 3337 - 3340, 2014.
[372] Peng Wang,Ziqiang Wang,Chun Zhang,Zhihua Wang, Data lane design for transmitter of 4.8Gbps serdes in 65nm CMOS, EDSSC 2014, pp. 1 - 2, 2014.
[373] Lili Xu,Chenchen Zhao,Fule Li,Chun Zhang,Zhihua Wang, A improved frontend for high-speed SHA-less pipelined ADC, EDSSC 2014, pp. 1 - 2, 2014.
[374] Linghan Wu,Shuai Yuan,Xuqiang Zheng,Ziqiang Wang,Chun Zhang,Zhihua Wang, A 10Gb/s source-synchronous transmitter in 65nm CMOS technology, EDSSC 2014, pp. 1 - 2, 2014.
[375] Xiaoming Qi,Dongmei Li,Zhihua Wang, A 1-V 25-µW low-noise CMOS programmable gain pre-amplifier for digital hearing aid, EDSSC 2014, pp. 1 - 2, 2014.
[376] Qing Ding,Pengpeng Yuan,Dongmei Li,Zhihua Wang, A sub-1-V ultra-low power full CMOS bandgap reference woking in subthreshold region, EDSSC 2014, pp. 1 - 2, 2014.
[377] Chenlong Hou,Ziqiang Wang,Ke Huang,Chun Zhang,Zhihua Wang, A 20 GHz PLL for 40 Gbps SerDes application with 4 bit switch-capacitor adaptive controller, EDSSC 2014, pp. 1 - 2, 2014.
[378] Qing Wang,Libing Zhou,Zhou Peng,Dongmei Li,Zhihua Wang, A 12-b 100MS/s low-power successive approximation register ADC in 65nm COMS, EDSSC 2014, pp. 1 - 2, 2014.
[379] Peng Wang,Xuqiang Zheng,Ziqiang Wang,Chun Zhang,Zhihua Wang, A 40Gbps quarter rate CDR using CMOS-style signal alignment strategy in 65nm CMOS, EDSSC 2014, pp. 1 - 2, 2014.
[380] Yibin Wang,Chenxi Han,Dongmei Li,Zhihua Wang, A 14b continuous-time delta-sigma modulator with 2MHz signal bandwidth, EDSSC 2014, pp. 1 - 2, 2014.
[381] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Liji Wu,Chun Zhang,Zhihua Wang, A 10Gb/s speculative decision feedback equalizer with a novel implementation of adaption in 65nm CMOS technology, EDSSC 2014, pp. 1 - 2, 2014.
[382] Linghan Wu,Ziqiang Wang,Xuqiang Zheng,Ke Huang,Chun Zhang,Zhihua Wang, Co-design of 40Gb/s equalizers for wireline transceiver in 65nm CMOS technology, EDSSC 2014, pp. 1 - 2, 2014.
[383] Xunxun Zhu,Jian Cai,Yu Chen,Yingke Gu,Xiang Xie,Qian Wang,Zhihua Wang,Xiaofeng Sun,Lixi Wan, The miniaturization of a micro-ball endoscope by SiP approach, ECTC 2014, pp. 1378 – 1383, 2014.
[384] Yun Yin,Baoyong Chi,Xiaobao Yu,Wen Jia,Zhihua Wang, An Efficiency-Enhanced 2.4GHz Stacked CMOS Power Amplifier with Mode Switching Scheme for WLAN Applications, CICC 2014, pp. 1 - 4, 2014.
[385] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 75mW 50Gbps SerDes Transmitter with Automatic Serializing Time Window Search in 65nm CMOS technology, CICC 2014, pp. 1 - 4, 2014.
[386] Huanhuan Li,Guolin Li,Xiang Xie,Yadong Huang,Zhihua Wang, Omnidirectional Wireless Power Combination Harvest for Wireless Endoscopy, BioCAS 2014, pp. 420 - 423, 2014.
[387] Xiaobao Yu,Meng Wei,Yun Yin,Ying Song,Siyang Han,Qiongbing Liu,Zongming Jin,Xiliang Liu,Zhihua Wang, Baoyong Chi, A fully-integrated reconfigurable dual-band transceiver for short range wireless communication in 180nm CMOS, A-SSCC 2014, pp. 257 - 260, 2014.
[388] Yang Li,Ni Xu,Yining Zhang,Wooguen Rhee,Sanghoon Kang,Zhihua Wang, A 0.65V 1.2mW 2.4GHz/400MHz dual-mode phase modulator for mobile healthcare applications, A-SSCC 2014, pp. 261 - 264 , 2014.
[389] Tzi-Dar Chiueh,Shimizu, T.,Chen, G.,Chen Yi Lee,Hsu, C.,Tihao Chiang,Zhihua Wang,Junghwan Choi,Jongwoo Lee, Tomita, Y., Kawahara, T., What is a good way to expand a silicon value to a solution value?, A-SSCC 2014, pp. 389 - 394, 2014.
[390] Xinwang Zhang,Zhihua Wang,Baoyong Chi, A 0.1-1.5GHz harmonic rejection receiver front-end with hybrid 8 phase LO generator, phase ambiguity correction and vector gain calibration, A-SSCC 2014, pp. 353 - 356, 2014.
[391] Xinwang Zhang,Yang Xu,Bingqiao Liu,Qian Yu,Siyang Han,Qiongbing Liu,Zehong Zhang,Yanqiang Gao,Zhihua Wang, Baoyong Chi, A 0.1-5GHz flexible SDR receiver in 65nm CMOS, A-SSCC 2014, pp. 249 - 252, 2014.
[392] Zheng Song,Nan Qi,Baoyong Chi,Zhihua Wang, A multi-mode reconfigurable analog baseband with I/Q calibration for GNSS receivers, ASP-DAC 2014, pp. 29 - 30, 2014.
[393] Huamin Cao,Ming Liu,Hong Chen,Xiang Zheng,Cong Wang,Zhihua Wang, Design of High-Speed SRAM Array and Periphery for Compiler, Microelectronics, Vol.43, No.1, 2013.
[394] Jianjun Wei,Hanjun Jiang,Lingwei Zhang,Jinjin Dong,Fule Li,Zhihua Wang,Chun Zhang, A wide range sigma-delta fractional-N frequency synthesizer with adaptive frequency calibration, Journal of Semiconductors, Vol.34, No.6, pp. 065002-1-5, 2013.
[395] Meng Yu,Lipeng Wu,Fule Li,Zhihua Wang, An 8 bit 12 MS/s asynchronous successive approximation register ADC with an on-chip reference, Journal of Semiconductors, Vol.34, No.2, pp. 025010-1-5, 2013.
[396] Lingwei Zhang,Baoyong Chi,Nan Qi,Liyuan Liu,Hanjun Jiang,Zhihua Wang, A Lower Power Reconfigurable Multi-Band Transceiver for Short-Range Communication, Journal of Semiconductors, Vol.34, No.3, pp. 035008-1-7 , 2013.
[397] Xiangyu Meng,Baoyong Chi,Haikun Jia,Lixue Kuang,Wen Jia,Zhihua Wang, A wideband on-chip millimeter-wave patch antenna in 0.18 μm CMOS, Journal of Semiconductors, Vol.34, No.10, pp. 105010-1 - 105010-5, 2013.
[398] Shuanglong Liu,Chun Zhang,Yu Huang,Zhihua Wang, A Time Difference Measurement and Clock Synchronization Technology Based on Interpolating Sampling, Journal of Circuits and Systems , Vol.18, No.2, pp. 173-177, 2013.
[399] Qi Tang,Hanjun Jiang,Wan Wang,Kai Yang,Chun Zhang,Zhihua Wang, Heart rate algorithm based on a Band-Aid-like electrocardiogram, Electronic Design Engineering, Vol.21, No.20, pp. 137 - 140, 2013.
[400] Bo Zhou,Woogeun Rhee,Dongwook Kim,Zhihua Wang, Reconfigurable FM-UWB transmitter design for robust short range communications, Telecommunication Systems, Vol.52, No.2, pp. 1133 - 1144, 2013.
[401] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Zhihua Wang, Indoor Wireless Power Transfer Using Asymmetric Directly-Strong-Coupling Mechanism, Microwave and Optical Technology Letters, Vol.55, No.2, pp. 250 - 253, 2013.
[402] Liyuan Liu,Dongmei Li,Zhihua Wang, A 0.6-V to 1-V audio ΔΣ modulator in 65 nm CMOS with 90.2 dB SNDR at 0.6-V, Journal VLSI Design, Vol.2013, Article ID 353080, 2013.
[403] Woogeun Rhee,Ni Xu,Bo Zhou,Zhihua Wang, Fractional-N Frequency Synthesis: Overview and Practical Aspects with FIR-Embedded Design, Journal of Semiconductor Technology and Science, Vol.13, No.2, pp. 170 - 183, 2013.
[404] Fei Chen,Wei Zhang,Woogeun Rhee,Jongjin Kim,Dongwook Kim,Zhihua Wang, A 3.8-mW 3.5–4-GHz Regenerative FM-UWB Receiver With Enhanced Linearity by Utilizing a Wideband LNA and Dual Bandpass Filters, IEEE Transactions on Microwave Theory and Techniques, Vol.61, No.9, pp. 3350 - 3359, 2013.
[405] Wei Zhang,Xican Chen,Fei Chen,Woogeun Rhee,Zhihua Wang, A Phase-Domain Delta Sigma Ranging Method for FMCW Radar Receivers, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.60, No.9, pp. 537 - 541, 2013.
[406] Bo Zhou,Fei Chen,Woogeun Rhee,Zhihua Wang, A Reconfigurable FM-UWB Transceiver for Short-Range Wireless Communications, IEEE Microwave and Wireless Components Letters, Vol.23, No.7, pp. 371 - 373, 2013.
[407] Lingwei Zhang,Hanjun Jiang,Jianjun Wei,Jingjing Dong,Fule Li,Weitao Li,Jia Gao,Jianwei Cui,Baoyong Chi, Chun Zhang, Zhihua Wang, A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz IEEE 802.15.6/ZigBee WBAN Hubs With Only 21% Tuning Range VCO, IEEE Journal of Solid-State Circuits, Vol.48, No.11, pp. 2705 - 2716, 2013.
[408] Nan Qi,Baoyong Chi,Yang Xu,Zhihua Wang, A radio-frequency amplifier with tunable high-Q RF bandpass filtering for SAW-less wireless receivers, Electronics Letters, Vol.49, No.10, pp. 656 - 657, 2013.
[409] Y. Han,D. Lin,Shuli Geng,Ni Xu,Woogeun Rhee,T-Y Oh,Zhihua Wang, All-digital PLL with ΔΣ DLL embedded TDC, Electronics Letters, Vol.49, No.2, pp. 93 - 94, 2013.
[410] Hui Jiang,Ziqiang Wang,Chun Zhang,Hanjun Jiang,Zhihua Wang, A combined low power SAR capacitance-to-digital analog-to-digital converter for multisensory system, Analog Integrated Circuits and Signal Processing, Vol.75, No.2-SI, pp. 311 - 322, 2013.
[411] Yang Xu,Baoyong Chi,Zhihua Wang, Gate-leakage compensation scheme for programmable SI-DAC of ΣΔ modulator in deep sub-micron, Analog Integrated Circuits and Signal Processing, Vol.76, No.1, pp. 155 - 160, 2013.
[412] Xinwang Zhang,Baoyong Chi,Meng Cao,Ling Fu,Zhaokang Xia,Yun Yin,Hongxing Feng,Xing Zhang,Patrick Chiang, Zhihua Wang, A 0.1–4 GHz SDR receiver with reconfigurable 10–100 MHz signal bandwidth in 65 nm CMOS, Analog Integrated Circuits and Signal Processing, Vol.77, No.3, pp. 567 - 582, 2013.
[413] Lingwei Zhang,Hanjun Jiang,Fule Li,Jingjing Dong,Jianwei Cui,Zhihua Wang, DC offset calibration method for zero-IF receiver removing the PGA-gain-correlated offset residue, AEU-International Journal of Electronics and Communications, Vol.67, No.7, pp. 578 - 584, 2013.
[414] Hang Lv,Bo Zhou,Dang Liu,Woogeun Rhee,Yongming Li,Zhihua Wang, A 5.2–11.8MHz octa-phase relaxation oscillator for 8-PSK FM-UWB transceiver systems, VLSI-DAT 2013, pp. 1 - 4, 2013.
[415] Kunzhi Yu,Xuqiang Zheng,Ke Huang,Ma Xuan,Ziqiang Wang,Chun Zhang,Zhihua Wang, A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS, VLSI-DAT 2013, pp. 1 - 4, 2013.
[416] Lixue Kuang,Baoyong Chi,Haikun Jia,Zuochang Ye,Wen Jia,Zhihua Wang, Co-design of 60GHz wideband front-end IC with on-chip Tx/Rx switch based on passive macro-modeling, RFIC 2013, pp. 93 - 96, 2013.
[417] Yu Huang,Chun Zhang,Zuozhao Li,Zhihua Wang, A high-resolution time interval measurement chip in underground positioning system, NEWCAS 2013, pp. 1 - 4, 2013.
[418] Zhihua Wang,Hanjun Jiang,Kai Yang,Lingwei Zhang,Jianjun Wei,Fule Li,Baoyong Chi,Chun Zhang,Shouhao Wu, Qingliang Lin, Wen Jia, Lifetime Tracing of Cardiopulmonary Sounds with Ultra-Low-Power Sound Sensor Stick Connected to Wireless Mobile Network, NEWCAS 2013, pp. 1 - 4, 2013.
[419] Yun Yin,Baoyong Chi,Zhihua Wang, A 0.1–1.5GHz dual-mode Class-AB/Class-F power amplifier in 65nm CMOS, MWSCAS 2013, pp. 372 - 375, 2013.
[420] Dang Liu,Fei Chen,Woogeun Rhee,Zhihua Wang, An FM-UWB transceiver with M-PSK subcarrier modulation and regenerative FM demodulation, MWSCAS 2013, pp. 936 – 939, 2013.
[421] Chenchen Zhao,Lili Xu,Fule Li,Zhihua Wang, An efficient calibration technique for pipeline ADC, MWSCAS 2013, pp. 669 – 672, 2013.
[422] Kai Yang,Zhuan He,Wendi Yang,Qi Tang,Dongmei Li,Zhihua Wang,Qingliang Lin,Wen Jia, Heart Sound Denoising Using Computational Auditory Scene Analysis for a Wearable Stethoscope, MWSCAS 2013, pp. 1220 - 1223, 2013.
[423] Hong Chen,Chun Zhang,Zhihua Wang, Live demonstration: A wireless force measurement system for total knee arthroplasty, ISCAS 2013, pp. 672, 2013.
[424] Yadong Huang,Jianfeng Wang,Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Zhihua Wang, An efficiency-enhanced wireless power transfer system with segmented transmitting coils for endoscopic capsule, ISCAS 2013, pp. 2279 - 2282, 2013.
[425] Xiaobao Yu,Baoyong Chi,Meng Wei,A. Wang,Tianling Ren,Zhihua Wang, A half rate CDR with DCD cleaning up and quadrature clock calibration for 20Gbps 60GHz communication in 65nm CMOS, ISCAS 2013, pp. 962 - 965, 2013.
[426] Songping Mai,Chunhong Li,Yixin Zhao,Chun Zhang,Zhihua Wang, A high-performance low-power SoC for mobile one-time password applications, ISCAS 2013, pp. 1436 - 1439, 2013.
[427] Zhong Lv,Yi Xu,Guolin Li,Xiang Xie,Jun Hu,Wei Song,Zhihua Wang, A new finger touch detection algorithm and prototype system architecture for pervasive bare-hand human computer interaction, ISCAS 2013, pp. 725 – 728, 2013.
[428] Weitao Li,Cao Sun,Fule Li,Zhihua Wang, A 14-bit Pipelined ADC with Digital Background Nonlinearity Calibration, ISCAS 2013, pp. 2448 - 2451, 2013.
[429] Nan Qi,Zheng Song,Baoyong Chi,Tianling Ren,Albert Wang,Zhihua Wang, A Multi-Mode Complex Bandpass Filter With gm-Assisted Power Optimization and I/Q Calibration, ISCAS 2013, pp. 1845 - 1848, 2013.
[430] Lingwei Zhang,Hanjun Jiang,Fule Li,Jingjing Dong,Zhihua Wang, A LUT-free DC Offset Calibration Method for removing the PGA-gain-correlated Offset Residue, ISCAS 2013, pp. 1704 - 1707, 2013.
[431] Shuli Geng,Ni Xu,Jun Li,Xueyi Yu,Woogeun Rhee,Zhihua Wang, A PLL/DLL Based CDR with Delta-Sigma Frequency Tracking and Low Algorithmic Jitter Generation, ISCAS 2013, pp. 1179 - 1182, 2013.
[432] Changyi Yang,Weitao Li,Fule Li,Zhihua Wang, A Merged First and Second Stage for Low Power Pipelined ADC, ISCAS 2013, pp. 153 – 156, 2013.
[433] Tianjia Sun,Xiang Xie,Zhihua Wang, Design challenges of the wireless power transfer for medical microsystems, IEEE-IWS 2013, pp. 1 - 4, 2013.
[434] Yingying Wang,Chun Zhang,Qi Peng,Zhihua Wang, Learning to detect frame synchronization, ICONIP 2013, pp. 570-578, 2013.
[435] Yingying Wang,Chun Zhang,Zhihua Wang, Rate distortion Multiple Instance Learning for image classification, ICIP 2013, pp. 3235 - 3238, 2013.
[436] Nan Qi,Baoyong Chi,Yang Xu,Zhou Chen,Jun Xie,Zheng Song,Zhihua Wang, A 180nm fully-integrated dual-channel reconfigurable receiver for GNSS interoperations, ESSCIRC 2013, pp. 177 - 180, 2013.
[437] Yingying Chi,Dongmei Li,Zhihua Wang, A 16-bit 1MS/s 44mW successive approximation register analog-to-digital converter achieving signal-to-noise-and-distortion-ratio of 94.3dB, EDSSC 2013, pp. 1 - 2, 2013.
[438] Zhihua Wang,Kai Yang,Wan Wang,Hanjun Jiang,Shouhao Wu,Qingliang Lin,Wen Jia, Sound monitoring based wireless healthcare and a typical implmenation for heart rate monitoring, EDSSC 2013, pp. 1 - 2, 2013.
[439] Nan Qi,Baoyong Chi,Yang Xu,Zhou Chen,Jun Xie,Yang Xu,Zheng Song,Zhihua Wang, An asymmetric dual-channel reconfigurable receiver for GNSS in 180nm CMOS, CICC 2013, pp. 1 - 4, 2013.
[440] Fei Chen,Yu Li,Deyuan Lin,Huiying Zhuo,Woogeun Rhee,Jongjin Kim,Dongwook Kim,Zhihua Wang, A 1.14mW 750kb/s FM-UWB transmitter with 8-FSK subcarrier modulation, CICC 2013, pp. 1 - 4, 2013.
[441] Yun Yin,Baoyong Chi,Qian Yu,Bingqiao Liu,Zhihua Wang, A 0.1-5GHz SDR transmitter with dual-mode power amplifier and digitally-assisted I/Q imbalance calibration in 65nm CMOS, A-SSCC 2013, pp. 205 - 208, 2013.
[442] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A Self-Healing mm-Wave Amplifier Using Digital Controlled Artificial Dielectric Transmission Lines, A-SSCC 2013, pp. 425 - 428, 2013.
[443] Nan Qi,Zheng Song,Zehong Zhang,Yang Xu,Baoyong Chi,Zhihua Wang, A Multi-Mode Blocker-Tolerant GNSS Receiver with CT Sigma-Delta ADC in 65 nm CMOS, A-SSCC 2013, pp. 333 - 336, 2013.
[444] Lixue Kuang,Baoyong Chi,Lei Chen,Meng Wei,Xiaobao Yu,Zhihua Wang, An Integrated 60GHz 5Gb/s QPSK Transmitter with On-Chip T/R Switch and Fully-Differential PLL Frequency Synthesizer in 65nm CMOS, A-SSCC 2013, pp. 413 - 416, 2013.
[445] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A resonant-mode switchable VCO with 47.6–71.0 GHz tuning range based on π-type LC network, A-SSCC 2013, pp. 321 - 324, 2013.
[446] Changyi Yang,Fule Li,Weitao Li,Xuan Wang,Zhihua Wang, An 85mW 14-bit 150MS/s Pipelined ADC with 71.3dB Peak SNDR in 130nm CMOS, A-SSCC 2013, pp. 85 - 88, 2013.
[447] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Ke Huang,Liji Wu,Zhihua Wang, A 10-Gb/s simplified transceiver with a quarter-rate 4-tap decision feedback equalizer in 0.18-μm CMOS technology, ASICON 2013, pp. 1 – 4, 2013.
[448] Linghan Wu,Ziqiang Wang,Ke Huang,Shuai Yuan,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 10Gb/s analog equalizer in 0.18um CMOS, ASICON 2013, pp. 1 - 4, 2013.
[449] Zhihua Wang,Hanjun Jiang, Wireless intelligent sensor system for fetal heart rate tracing through body sound monitoring on a pregnant woman, 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO 2013), pp. 1 - 3, 2013.
[450] Jinkuang Cheng,Yangdong Deng,Hongying Meng,Zhihua Wang, A facial expression based continuous emotional state monitoring system with GPU acceleration, 10th IEEE International Conference and Workshops on Automatic Face and Gesture Recognition (FG) 2013, pp. 1 – 6, 2013.
[451] Mingkun Shao,Baoyong Chi,Haikun Jia,Zhihua Wang, Design of Analog Baseband Circuits for 77GHz Mm-wave radar applications, Microelectronics, 2012.
[452] Jianjian Shao,Weitao Li,Cao Sun,Fule Li,Chun Zhang,Zhihua Wang, A digital background calibration algorithm of a pipeline ADC based on output code calculation, Chinese Journal of Semiconductors, Vol.33, No.11, pp. 115010-1-5, 2012.
[453] Yang Xu,Baoyong Chi,Yang Xu,Nan Qi,Zhihua Wang, A 2-mW 50-dB DR wideband hybrid AGC for a GNSS receiver in 65 nm CMOS, Chinese Journal of Semiconductors, Vol.33, No.7, pp. 075006 - 1 - 8, 2012.
[454] Shaopeng Wang,Yannan Ren,Fule Li,Zhihua Wang, A 400MS/s 12-bit current-steering D/A Converter, Chinese Journal of Semiconductors, Vol.33, No.8, pp. 085006-1-5, 2012.
[455] Kaimin Zhou,Ziqiang Wang,Chun Zhang,Zhihua Wang, A 2.5 mW 370 mV/pF high linearity stray-immune symmetrical readout circuit for capacitive sensors, Chinese Journal of Semiconductors, Vol.33, No.6, 2012.
[456] Haikun Jia,Baoyong Chi,Lixue Kuang,Zhihua Wang, A 1 V, 69–73 GHz CMOS power amplifier based on improved Wilkinson power combiner, Microelectronics Journal, Vol.43, No.6, pp. 370 - 376, 2012.
[457] Baoyong Chi,Xiaoman Wang,Lingwei Zhang,Songyuan Cheng,Zhihua Wang, A 1.8-V, 5-mA reconfigurable analog baseband circuit for low-IF multi-mode multi-band receivers, International Journal of Electronics, Vol.99, No.5, pp. 695 - 705, 2012.
[458] Liyuan Liu,Dongmei Li,Liangdong Chen,Zhihua Wang, A 1-V 15-Bit Audio ΔΣ-ADC in 0.18μm CMOS, IEEE Transactions on Circuits and Systems I-Regular Papers, Vol.59, No.5, pp. 915 - 925, 2012.
[459] Bo Zhou,Jian Qiao,Rui He,Jinghui Liu,Wei Zhang,Hang Lv,Woogeun Rhee,Yongming Li,Zhihua Wang, A Gated FM-UWB System With Data-Driven Front-End Power Control, IEEE Transactions on Circuits and Systems I-Regular Papers, Vol.59, No.6, pp. 1348 - 1358, 2012.
[460] Yang Xu,Baoyong Chi,Xiaobao Yu,Nan Qi,Partick Chiang,Zhihua Wang, Power-Scalable, Complex Bandpass/Low-Pass Filter With I/Q Imbalance Calibration for a Multimode GNSS Receiver, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.59, No.1, pp. 30 - 34, 2012.
[461] Nan Qi,Yang Xu,Baoyong Chi,Yang Xu,Xiaobao Yu,Xing Zhang,Ni Xu,Patrick Chiang,Woogeun Rhee, Zhihua Wang, A Dual-Channel Compass/GPS/GLONASS/Galileo Reconfigurable GNSS Receiver in 65 nm CMOS With On-Chip I/Q Calibration, IEEE Transactions on Circuits and Systems -I: Regular papers, Vol.59, No.8, pp. 1720 - 1732, 2012.
[462] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Yangdong Deng,Zhihua Wang, A Two-Hop Wireless Power Transfer System With an Efficiency-Enhanced Power Receiver for Motion-Free Capsule Endoscopy Inspection, IEEE Transactions on Biomedical Engineering, Vol.59, No.11, pp. 3247 - 3254, 2012.
[463] Yuanfeng Sun,Zhuo Zhang,Ni Xu,Min Wang,Woogeun Rhee,Tae-Young Oh,Zhihua Wang, A 1.75 mW 1.1 GHz Semi-Digital Fractional-N PLL With TDC-Less Hybrid Loop Control, IEEE Microwave and Wireless Components Letters, Vol.22, No.12, pp. 654 - 656, 2012.
[464] Yingke Gu,Xiang Xie,Guolin Li,Tianjia Sun,Zhihua Wang, Two-stage wireless capsule image compression with low complexity and high quality, Electronics Letters, Vol.48, No.25, pp. 1588 - 1589, 2012.
[465] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Zhihua Wang, Rectigulator: a hybrid of rectifiers and regulators for miniature wirelessly powered bio-microsystems, Electronics Letters, Vol.48, No.19, pp. 1181 - 1182, 2012.
[466] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Yangdong Deng,Zhihua Wang, Integrated Omnidirectional Wireless Power Receiving Circuit for Wireless Endoscopy, Electronics Letters, Vol.48, No.15, pp. 907 - 908, 2012.
[467] Baoyong Chi,Zhihua Wang,S. Simon Wong, A superheterodyne receiver front-end with on-chip automatically Q-tuned notch filters, Analog Integrated Circuits and Signal Processing, Vol.71, No.3, pp. 453 - 463, 2012.
[468] Hong Chen,Xu Zhang,Ming Liu,Wenhan Hao,Hanjun Jiang,Chen Jia,Chun Zhang,Zhihua Wang, Low-power circuits for the wireless ligament balance measurement system in TKA, Analog Integrated Circuits and Signal Processing, Vol.72, No.2, pp. 293 - 302, 2012.
[469] Fei Chen,Wei Zhang,Woogeun Rhee,Jongjin Kim,Dongwook Kim,Zhihua Wang, A 3.8mW, 3.5–4GHz regenerative FM-UWB receiver with enhanced linearity by utilizing a wideband LNA and dual bandpass filters, RFIT 2012, pp. 150 - 152, 2012.
[470] Chaojun Ye,Chun Zhang,Hong Chen,Zhihua Wang, A UHF near-field RFID system with contactless inductively coupled antenna, RFIT 2012, pp. 131 - 133, 2012.
[471] Yuhui He,Xijin Zhao,Chun Zhang,Zhihua Wang, A Fully Integrated Chip-ID Tag Used in Chip Information Identification, RFID 2012, pp. 172 - 176, 2012.
[472] Qi Peng,Chun Zhang,Yanhong Song,Ziqiang Wang,Zhihua Wang, A Low-Cost, Low-Power UHF RFID Reader Transceiver for Mobile Applications, RFIC 2012, pp. 243 - 246, 2012.
[473] Yuanfeng Sun,Jun Li,Zhuo Zhang,Min Wang,Ni Xu,Hang Lv,Woogeun Rhee,Yongming Li,Zhihua Wang, A 2.74–5.37GHz boosted-gain type-I PLL with <15% loop filter area, RFIC 2012, pp. 181 - 184, 2012.
[474] Zhihua Wang,Hong Chen,Ming Liu,Hanjun Jiang,Tianjia Sun,Xu Zhang, A wirelessly ultra-low-power system for equilibrium measurements in total hip replacement surgery, NEWCAS 2012, pp. 141 - 144, 2012.
[475] Ke Huang,Ziqiang Wang,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A novel clock and data recovery scheme for 10Gbps source synchronous receiver in 65nm CMOS, MWSCAS 2012, pp. 932 - 935, 2012.
[476] Kunzhi Yu,Ziqiang Wang,Xuan Ma,Xuqiang Zheng,Chun Zhang,Zhihua Wang, A 6.4 Gb/s data lane design for forwarded clock receiver in 65nm CMOS, MWSCAS 2012, pp. 936 - 939, 2012.
[477] Pan Wu,Chun Zhang,Caifeng Wei,Hanjun Jiang,Zhihua Wang, A Baseband Transceiver for Multi-mode and Multi-band SoC, MWSCAS 2012, pp. 770 - 773, 2012.
[478] Hui Jiang,Ziqiang Wang,Liyuan Liu,Chun Zhang,Zhihua Wang, A Combined Low Power SAR Capacitance-to-Digital/Analog-to-Digital Converter for Multisensory System, MWSCAS 2012, pp. 1000 - 1003, 2012.
[479] Yizhi Han,Woogeun Rhee,Zhihua Wang, A PVT-Insensitive Self-Dithered TDC Design by Utilizing a DS DLL, MWSCAS 2012, pp. 542 - 545, 2012.
[480] Jia Gao,Hanjun Jiang,Lingwei Zhang,Jingjing Dong,Zhihua Wang, A Programmable Low-Pass Filter with Adaptive Miller Compensation for Zero-IF Transceiver, MWSCAS 2012, pp. 226 - 229, 2012.
[481] Songping Mai,Yixin Zhao,Chun Zhang,Zhihua Wang, A time-frequency aware cochlear implant: algorithm and system, ISNN 2012, pp. 159 - 168, 2012.
[482] Shuli Geng,Woogeun Rhee,Zhihua Wang, A pulse-shaped power amplifier with dynamic bias switching for IR-UWB transmitters, ISCAS 2012, pp. 2529 - 2532, 2012.
[483] Wei Zhang,Woogeun Rhee,Zhihua Wang, A ΔΣ IR-UWB Radar with Sub-mm Ranging Capability for Human Body Monitoring Systems, ISCAS 2012, pp. 1315 - 1318, 2012.
[484] Yafei Ye,Liyuan Liu,Jiangyuan Li,Dongmei Li,Zhihua Wang, A 120dB SNDR Audio Sigma-Delta Modulator with an Asynchronous SAR Quantizer, ISCAS 2012, pp. 2357 - 2360, 2012.
[485] Xuan Wang,Changyi Yang,Xiaoxiao Zhao,Chao Wu,Fule Li,Zhihua Wang,Bin Wu, A 12-bit, 270MS/s Pipelined ADC with SHA-Eliminating Front End, ISCAS 2012, pp. 798 – 801, 2012.
[486] Shijie Hu,Chen Jia,Ke Huang,Chun Zhang,Xuqiang Zheng,Zhihua Wang, A 10Gbps CDR based on Phase Interpolator for Source Synchronous Receiver in 65nm CMOS, ISCAS 2012, pp. 309 - 312, 2012.
[487] Dingguo Wei,Chun Zhang,Yan Cui,Hong Chen,Zhihua Wang, Design of a Low-cost Low-power Baseband-processor for UHF RFID Tag with Asynchronous Design Technique, ISCAS 2012, pp. 2789 - 2792, 2012.
[488] Yang Xu,Nan Qi,Zhou Chen,Baoyong Chi,Zhihua Wang, A hybrid approach to I/Q imbalance self-calibration in reconfigurable low-IF receivers, ISCAS 2012, pp. 552 - 555, 2012.
[489] Hanqing Luo,Ming Liu,Hong Chen,Chun Zhang,Zhihua Wang, A Wireless Force Measurement System For Total Knee Arthroplasty, ISCAS 2012, pp. 2637 - 2640, 2012.
[490] Ke Huang,Chen Jia,Xuqiang Zheng,Ni Xu,Chun Zhang,Woogeun Rhee,Zhihua Wang, A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology, ISCAS 2012, pp. 313 - 316, 2012.
[491] Yingke Gu,Guolin Li,Xiang Xie,Tianjia Sun,Shouhao Liu,Xiaomeng Li,Songping Mai,Zhihua Wang, The design and implementation of a chipset for the endoscopic Micro-Ball, ISCAS 2012, pp. 2633 - 2636, 2012.
[492] Yiyi Ren,Wenshou Chen,Xiang Xie,Guolin Li,Yangdong Deng,Kai Zhao,E. Shi,Zhihua Wang, A theoretical and empirical error analysis of mobile 3D data acquisition system, ISCAS 2012, pp. 596 – 599, 2012.
[493] Yang Xu,Baoyong Chi,Zhihua Wang, Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receivers, ISCAS 2012, pp. 293 - 296, 2012.
[494] Xu Zhang,Ming Liu,Hong Chen,Chun Zhang,Zhihua Wang, A wide dynamic range and fast update rate integrated interface for capacitive sensors array, ISCAS 2012, pp. 2961 - 2964, 2012.
[495] Deyuan Lin,Ni Xu,Woogeun Rhee,Zhihua Wang, An 11.7–17.2GHz digitally-controlled oscillator in 65nm CMOS for high-band UWB applications, ICSICT 2012, pp. 1 - 3, 2012.
[496] Yankai Wang,Caifeng Wei,Chun Zhang,Zhihua Wang, Design of a Reconfigurable 2.4G RFID Test System Based on Virtual Instrument, ICEICE 2012, pp. 490 - 494, 2012.
[497] Hongyan Song,Qi Peng,Chun Zhang,Zhihua Wang, A 900 MHz, 20 dBm, 40% PAE Single-ended CMOS Class E Power Amplifier Integrated in an UHF RFID Reader, ICEICE 2012, pp. 22 - 25, 2012.
[498] Jia Wang,Dingguo Wei,Yan Cui,Chun Zhang,Zhihua Wang, Design and Implementation of a Reconfigurable UHF RFID Test System, ICEICE 2012, pp. 435 - 438, 2012.
[499] Lu Li,Chun Zhang,Caifeng Wei,Pan Wu,Zhihua Wang, A Reconfigurable Wireless Digital Phase Modulator and Demodulator, ICEICE 2012, pp. 97 - 100, 2012.
[500] Xiaopeng Li,Cheng Zhang,Chun Zhang,Zhihua Wang, Digital Baseband Design of RFID Reader Based on 6C Protocol, ICEICE 2012, pp. 295 - 298, 2012.
[501] Dan Wang,Xiang Xie,Guolin Li,Danqing Li,Zhihua Wang, A Low Complexity Method of Real-Time Intestinal Lumen Detection for The Wireless Endoscopy, iCBBE 2012, pp. 386 - 389, 2012.
[502] Kai Tong,Yingke Gu,Guolin Li,Xiangxie,Shouhao Liu,Kai Zhao,Zhihua Wang, A fast algorithm of 4-point floating DCT in image/video compression, ICALIP 2012, pp. 872 - 875, 2012.
[503] Guohe Yin,He-Gong Wei,U-Fat Chio,Sai-Weng Sin,U. Seng-Pan,Zhihua Wang,R.P. Martins, A 0.024 mm2 4.9 fJ 10-bit 2 MS/s SAR ADC in 65 nm CMOS, ESSCIRC 2012, pp. 377 - 380, 2012.
[504] Rui Wang,U-Fat Chio,Sai-Weng Sin,U. Seng-Pan,Zhihua Wang,R.P. Martins, A 12-bit 110MS/s 4-stage Single-Opamp Pipelined SAR ADC with Ratio-Based GEC Technique, ESSCIRC 2012, pp. 265 - 268, 2012.
[505] Songping Mai,Zhijun Wang,Chun Zhang,Zhihua Wang, A wirelessly programmable chip for multi-channel neural stimulation, EMBC 2012, pp. 6595 - 6599, 2012.
[506] Dan Wang,Xiang Xie,Guolin Li,Yingke Gu,Zheng Yin,Zhihua Wang, Research on 2D Representation Method of Wireless Micro-Ball Endoscopic Images, EMBC 2012, pp. 1145 - 1148, 2012.
[507] Xinwang Zhang,Yun Yin,Meng Cao,Zhigang Sun,Ling Fu,Zhaokang Xia,Hongxing Feng,Xing Zhang,Baoyong Chi, Ming Xu, Zhihua Wang, A 0.1∼4GHz receiver and 0.1∼6GHz transmitter with reconfigurable 10∼100MHz signal bandwidth in 65nm CMOS, CICC 2012, pp. 1 - 4, 2012.
[508] Huamin Cao,Ming Liu,Hong Chen,Xiang Zheng,Cong Wang,Zhihua Wang, Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy, CECNet 2012, pp. 2565 - 2568, 2012.
[509] Yizhi Han,Woogeun Rhee,Zhihua Wang, Design and Analysis of a Robust All-Digital Clock Generation System with a DLL-based TDC, CECNet 2012, pp. 3152 - 3156, 2012.
[510] Ming Liu,Xu Zhang,Hong Chen,Chun Zhang,Zhihua Wang, A fast computable delay model for subthreshold circuit, CCECE 2012, pp. 1 - 4, 2012.
[511] Zheng Yin,Guolin Li,Xiang Xie,Yingke Gu,Jun Hu,Dan Wang,Zhihua Wang, A flexible attitude system for wireless Micro-Ball endoscopy, BioCAS 2012, pp. 5 - 8, 2012.
[512] Pengfei Zhang,Dan Wang,Xiang Xie,Guolin Li,Yingke Gu,Tianjia Sun,Zhihua Wang, A method for the generation of small intestine map based on endoscopic Micro-Ball, BioCAS 2012, pp. 276 - 279, 2012.
[513] Kai Yang,Hanjun Jiang,Jingjing Dong,Chun Zhang,Zhihua Wang, An adaptive real-time method for fetal heart rate extraction based on phonocardiography, BioCAS 2012, pp. 356 - 359, 2012.
[514] Yingke Gu,Xiang Xie,Guolin Li,Tianjia Sun,Dan Wang,Zheng Yin,Yangdong Deng,Zhihua Wang, Design of Micro-Ball Endoscopy System, BioCAS 2012, pp. 208 - 211, 2012.
[515] Shuli Geng,Xican Chen,Woogeun Rhee,Jongjin Kim,Dongwook Kim,Zhihua Wang, A Power-Efficient All-Digital IR-UWB Transmitter with Configurable Pulse Shaping by Utilizing a Digital Amplitude Modulation Technique, A-SSCC 2012, pp. 85 - 88, 2012.
[516] Zhijie Chen,Yang Jiang,Chenyan Cai,He-Gong Wei,Sai-Weng Sin,U. Seng-Pan,Zhihua Wang,R.P. Martins, A 22.4 μW 80dB SNDR ΣΔ modulator with passive analog adder and SAR quantizer for EMG application, A-SSCC 2012, pp. 257 - 260, 2012.
[517] Zhuo Zhang,Xican Chen,Woogeun Rhee,Zhihua Wang, A Cint-less type-II PLL with ΔΣ DAC based frequency acquisition and reduced quantization noise, A-SSCC 2012, pp. 301 - 304, 2012.
[518] Lingwei Zhang,Hanjun Jiang,Jianjun Wei,Jingjing Dong,Weitao Li,Jia Gao,Jianwei Cui,Fule Li,Baoyong Chi, Chun Zhang, Zhihua Wang, A low-power reconfigurable multi-band sliding-IF transceiver for WBAN Hubs in 0.18μm CMOS, A-SSCC 2012, pp. 77 - 80, 2012.
[519] Caifeng Wei,Chun Zhang,Pan Wu,Hanjun Jiang,Zhihua Wang, A 0.18 Um CMOS Reconfigurable Digital Baseband Transceiver with 2FSK for RFID, ASID 2012, pp. 1 - 4, 2012.
[520] Ke Zhao,Hanqing Luo,Hong Chen,Ming Liu,Zhihua Wang, A SoC for Pressure Balance Measurement Application in Total Knee Arthroplasty, AASRI Conference on Computational Intelligence and Bioinformatics (CIB 2012), pp. 267 - 275, 2012.
[521] Xuguang Sun,Chun Zhang,Lili Gao,Yongming Li,Zhihua Wang, Modeling of a Schottky Diode in CMOS Process with a Flexible "Open-Through" On-Chip De-embedding Method, Tsinghua Science and Technology, Vol.16, No.2, pp. 175 - 180, 2011.
[522] Weiyi Wan,Hong Chen,Ming Liu,Jing Tang,Chun Zhang,Zhihua Wang, The gauge system of strain balance in the medical operation of total knee replacement, Transducer and Microsystem Technologies, Vol.30, No.2, pp. 142 - 144, 2011.
[523] Xiaoyu Zhang,Hanjun Jiang,Songyuan Cheng,Lingwei Zhang,Fule Li,Chun Zhang,Zhihua Wang, A High-Efficiency Work-on-Demand SoC with a 0.9V/165 mu W MCU and Dual-Band RF for WBSN, Chinese Journal of Electronics, Vol.20, No.1, pp. 21 - 26, 2011.
[524] Baoyong Chi,Kasra Omid-Zohoor,Zhihua Wang,S. Simon Wong, A 65nm CMOS Fully-Integrated Dynamic Reconfigurable Differential Power Amplifier with High Gain in Both Bands, Microelectronics Journal, Vol.42, No.6, pp. 855 - 862, 2011.
[525] Ni Xu,Woogeun Rhee,Zhihua Wang, Semidigital PLL design for low-cost low-power clock generation, Journal of Electrical and Computer Engineering, Vol.2011, pp. 1 - 9, 2011.
[526] Xuguang Sun,Baoyong Chi,Chun Zhang,Ziqiang Wang,Zhihua Wang, Ultra-high-frequency radio frequency identification reader receiver with 10 dBm input P1 dB and -74 dBm sensitivity in 0.18 μm CMOS, IET Circuits Devices & Systems, Vol.5, No.5, pp. 392 - 402, 2011.
[527] Yuanfeng Sun,Jian Qiao,Xueyi Yu,Woogeun Rhee,Byeong-Ha Park,Zhihua Wang, A continuously tunable hybrid LC-VCO PLL with mixed-mode dual-path control and bi-level delta-sigma modulated coarse tuning, IEEE Transactions on Circuits and Systems I-Regular Papers, Vol.58, No.9, pp. 2149 - 2158, 2011.
[528] Bo Zhou,Woogeun Rhee,Zhihua Wang, Relaxation Oscillator with Quadrature Triangular and Square Waveform Generation, Electronics Letters, Vol.47, No.13, pp. 779 - 780, 2011.
[529] Bo Zhou,Woogeun Rhee,Zhihua Wang, Reconfigurable FM-UWB Transmitter, Electronics Letters, Vol.47, No.10, pp. 628 - 629, 2011.
[530] Tongqiang Gao,Haigang Yang,Zhihua Wang, A multimode, power-adjustable transmitter for UHF mobile RFID reader, Analog Integrated Circuits and Signal Processing, Vol.66, No.3, pp. 323 - 329, 2011.
[531] Baoyong Chi,Shuguang Han,Zhihua Wang, A low power direct conversion receiver RF front-end with high in-band IIP2/IIP3 and low 1/f noise, Analog Integrated Circuits and Signal Processing, Vol.67, No.2, pp. 131 - 136, 2011.
[532] Jun Li,Bo Zhou,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang, Reconfigurable, Spectrally Efficient, High Data Rate IR-UWB Transmitter Design Using a Δ–Σ PLL Driven ILO and a 7-Tap FIR Filter, VLSI-DAT 2011, pp. 1 - 4, 2011.
[533] Woogeun Rhee,Bo Zhou,Zhihua Wang, Fractional-N frequency synthesis: Overview and design perspectives, RFIT 2011, pp. 125 - 128, 2011.
[534] Liyuan Liu,Dongmei Li,Yafei Ye,Zhihua Wang, Analysis and simulation of a 2nd order ΔΣ modulator with single-comparator multi-bit quantizer, RFIT 2011, pp. 189 - 192, 2011.
[535] Bo Zhou,Hang Lv,Min Wang,Jinghui Liu,Woogeun Rhee,Yongming Li,Dongwook Kim,Zhihua Wang, A 1Mb/s 3.2–4.4GHz reconfigurable FM-UWB transmitter in 0.18μm CMOS, RFIC 2011, pp. 1 - 4, 2011.
[536] Meng Cao,Baoyong Chi,Chun Zhang,Zhihua Wang, A 1.2V 0.1–3GHz software-defined radio receiver front-end in 130nm CMOS, RFIC 2011, pp. 1 - 4, 2011.
[537] Rui Wang,U-Fat Chio,Chi-Hang Chan,Li Ding,Sai-Weng Sin,U. Seng-Pan,Zhihua Wang,R.P. Martins, A time-efficient dither-injection scheme for pipelined SAR ADC, PrimeAsia 2011, pp. 9 - 12 , 2011.
[538] Yafei Ye,Ting Li,Zhihua Wang,Liyuan Liu,Dongmei Li, A hardware-effective digital decimation filter implementation for 24-bit ΔΣ ADC, PrimeAsia 2011, pp. 13 - 16, 2011.
[539] Zhijie Chen,Peng Zhang,Hegong Wei,Sai-Weng Sin,U. Seng-Pan,R.P. Martins,Zhihua Wang, Noise shaping implementation in two-step/SAR ADC architectures based on delayed quantization error, MWSCAS 2011, pp. 1 - 4, 2011.
[540] Peng Zhang,Zhijie Chen,Hegong Wei,Sai-Weng Sin,U. Seng-Pan,Zhihua Wang,R.P. Martins, A Charge Pump Based Timing-Skew Calibration for Time-Interleaved ADC, MWSCAS 2011, pp. 1 - 4, 2011.
[541] Kaimin Zhou,Ziqiang Wang,Fule Li,Chun Zhang,Zhihua Wang, A low-power high-linearity symmetrical readout circuit for capacitive sensors, MWSCAS 2011, pp. 1 - 4, 2011.
[542] Zhihua Wang,Hanjun Jiang,Xiang Xie,Hong Chen,Baoyong Chi,Chun Zhang, Key Technologies in the Integrated Circuit Design for the Construction of a Wireless Healthcare System, MWSCAS 2011, pp. 1 - 4, 2011.
[543] Ni Xu,Zhuo Zhang,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang, Technology-Friendly Phase-Locked Loops, MWSCAS 2011, pp. 1 - 4, 2011.
[544] Binjie Zhu,Hanjun Jiang,Liyuan Liu,Jigang Shao,Liwei Deng,Fule Li,Chun Zhang,Zhihua Wang, A Wireless SoC for Alimentary Canal pH Value Continuously Monitoring, MWSCAS 2011, pp. 1 - 4, 2011.
[545] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Hanjun Jiang,Yangdong Deng,Zhihua Wang, An Integrated Omnidirectional Wireless Power Receiver and Its Helix Transmitter for Wireless Endoscopy, ISSCC Student Research Preview, pp. 527 - 527, 2011.
[546] Liyuan Liu,Dongmei Li,Yafei Ye,Zhihua Wang, A 92.4dB SNDR 24kHz ΔΣ modulator consuming 352μW, ISLPED 2011, pp. 351 - 356, 2011.
[547] Xiaomeng Li,Tianjia Sun,Guolin Li,Xiang Xie,Yingke Gu,Zhihua Wang, A New Omnidirectional Wireless Power Transmission Solution for the Wireless Endoscopic Micro-Ball, ISCAS 2011, pp. 2609 – 2612, 2011.
[548] Dan Wang,Xiang Xie,Guolin Li,Yingke Gu,Tianjia Sun,Zhihua Wang, Image Registration Method for 2D Representation of Wireless Micro-Ball Endoscopic Images, ISCAS 2011, pp. 93 - 96, 2011.
[549] Jun Hu,Xiang Xie,Guolin Li,Yingke Gu,Yemao Zeng,Zhihua Wang, Attitude sensing system design for wireless Micro-Ball endoscopy, ISCAS 2011, pp. 2357 - 2360, 2011.
[550] Liyuan Liu,Dongmei Li,Liangdong Chen,Yafei Ye,Zhihua Wang, A 1V 15-bit Audio ΔΣ ADC in 0.18µm CMOS, ISCAS 2011, pp. 510 - 513, 2011.
[551] Pengpeng Yuan,Zhihua Wang,Dongmei Li,A. Wang,Liyuan Liu, A Nanopower CMOS Bandgap Reference with 30ppm/degree C from -30 degree C to 150 degree C, ISCAS 2011, pp. 2285 - 2288, 2011.
[552] Zhigang Sun,Baoyong Chi,Chun Zhang,Zhihua Wang, A 0.13μm CMOS 1.5-to-2.15GHz Low Power Transmitter Front-End for SDR Applications, ISCAS 2011, pp. 2453 - 2456, 2011.
[553] Hang Lv,Bo Zhou,Woogeun Rhee,Yongming Li,Zhihua Wang, A Relaxation Oscillator with Multi-Phase Triangular Waveform Generation, ISCAS 2011, pp. 2837 - 2840, 2011.
[554] Zhuo Zhang,Woogeun Rhee,Zhihua Wang, A Wide-Tuning Quasi-Type-I PLL with Voltage-Mode Frequency Acquisition Aid, ISCAS 2011, pp. 474 - 477, 2011.
[555] Min Wang,Bo Zhou,Woogeun Rhee,Zhihua Wang, Continuously Auto-Tuned and Self-Ranged Dual-path PLL design with Hybrid AFC, ICICDT 2011, pp. 1 - 4, 2011.
[556] Ming Liu,Hong Chen,Xu Zhang,Chun Zhang,Hanjun Jiang,Zhihua Wang, Low-power SoC design for Ligament Balance Measuring System in Total Knee Arthroplasty, EMBC 2011, pp. 5860 – 5863, 2011.
[557] Nan Qi,Yang Xu,Baoyong Chi,Yang Xu,Xiaobao Yu,Xing Zhang,Zhihua Wang, A Dual-Channel GPS/Compass/Galileo/Glonass Reconfigurable GNSS Receiver in 65nm CMOS, CICC 2011, pp. 1 - 4, 2011.
[558] Liyuan Liu,Dongmei Li,Yafei Ye,Liangdong Chen,Zhihua Wang, A 95dB SNDR audio ΔΣ modulator in 65nm CMOS, CICC 2011, pp. 1 - 4, 2011.
[559] Zhang Xu,Hong Chen,Ming Liu,Chun Zhang,Zhihua Wang, Sensor interface with single-line quasi-digital output for ligament balance measuring system, BioCAS 2011, pp. 377 - 380, 2011.
[560] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Xiaomeng Li,Zhihua Wang, An omnidirectional wireless power receiving IC with 93.6% efficiency CMOS rectifier and Skipping Booster for implantable bio-microsystems, A-SSCC 2011, pp. 185 - 188, 2011.
[561] Ting Li,Fule Li,Chun Zhang,Zhihua Wang, A 14bit 10MSps Low Power Pipelined ADC With 0.99pJ/step FOM, ASID 2011, pp. 150 - 153, 2011.
[562] Yafei Ye,Liyuan Liu,Fule Li,Dongmei Li,Zhihua Wang, An 8-bit 1MHz Successive Approximation Register (SAR) A/D with 7.98 ENOB, ASID 2011, pp. 139 - 142, 2011.
[563] Guannan Xu,Chen Jia,Chun Zhang,Zhihua Wang, A Digital Sliding Mode Controller for Switching Power Supply Converters, ASICON 2011, pp. 994 - 997, 2011.
[564] Linlin Chen,Ziqiang Wang,Chen Jia,Fule Li,Wenhan Hao,Guannan Xu,Chun Zhang,Zhihua Wang, Zero static power remote control system and the realization of transmitter, pp. 171 - 175, 2010.
[565] Jigang Shao,Hanjun Jiang,Chun Zhang,Zhihua Wang, MAC Design for Wireless Body Area Network, Microelectronics, pp. 11 - 18, 2010.
[566] Ming Liu,Hong Chen,Chen Jia,Zhihua Wang, Subthreshold 32-bit Data Path Design, Journal of Tsinghua University (Science and Technology), Vol.50, No.1, pp. 9 - 12, 2010.
[567] Xiaoyu Zhang,Xinkai Chen,Xiaowen Li,Hanjun Jiang,Chun Zhang,Zhihua Wang, Key Optimization Techniques in JPEG-LS IP Core Design, Journal of Electronics(China), Vol.27, No.1, pp. 94 - 98, 2010.
[568] Hao Chen,Liyuan Liu,Dongmei Li,Chun Zhang,Zhihua Wang, A 12-bit current steering DAC with 2-dimensional gradient-error tolerant switching scheme, Chinese Journal of Semiconductors, Vol.31, No.10, pp. 105006-1-6, 2010.
[569] Ming Liu,Hong Chen,Chun Zhang,Changmeng Li,Zhihua Wang, A light-powered subthreshold microprocessor, Chinese Journal of Semiconductors, Vol.31, No.11, pp. 115002 - 1 - 6, 2010.
[570] Jingchao Wang,Chun Zhang,Zhihua Wang, A Fully Integrated UHF RFID Reader SoC for Handheld Applications in 0.18µm CMOS Process, Chinese Journal of Semiconductors, Vol.31, No.8, pp. 085005 - 1, 2010.
[571] Ming Liu,Hong Chen,Changmeng Li,Zhihua Wang, An ultra-low-power 1Kb Sub-threshold SRAM in 180nm CMOS Process, Chinese Journal of Semiconductors, Vol.31, No.6, 2010.
[572] Yi Chen,Fule Li,Hong Chen,Chun Zhang,Zhihua Wang, A low power cyclic ADC design for a wireless monitoring system for orthopedic implants, Chinese Journal of Semiconductors, Vol.30, No.8, pp. 147 - 152, 2010.
[573] Weitao Li,Fule Li,Dandan Guo,Chun Zhang,Zhihua Wang, An Undersampling 14-bit Cyclic ADC with over 100-dB SFDR, Chinese Journal of Semiconductors, Vol.31, No.2, pp. 025008-1-6, 2010.
[574] Zhongqi Liu,Chun Zhang,Yongming Li,Zhihua Wang, A Current-mode Voltage Regulator with Sub-threshold Voltage Reference for Passive UHF RFID Tag, Chinese Journal of Semiconductors, Vol.31, No.6, pp. 065006 - 1 - 4, 2010.
[575] Tao Tong,Baoyong Chi,Ying Zhang,Hanjun Jiang,Zhihua Wang, A Reconfigurable Analog Baseband Circuit for WLAN, WCDMA, and Bluetooth, Chinese Journal of Semiconductors, Vol.31, No.5, pp. 055010 - 1 - 5, 2010.
[576] Liyuan Liu,Dongmei Li,Liangdong Chen,Chun Zhang,Shaojun Wei,Zhihua Wang, A low power 8-bit successive approximation register A/D for a wireless body sensor node, Chinese Journal of Semiconductors, Vol.31, No.6, pp. 0650041 - 0650045, 2010.
[577] Liyuan Liu,Liangdong Chen,Dongmei Li,Zhihua Wang,Shaojun Wei, A 1.1 mW 87 dB dynamic range ΔΣ modulator for audio applications, Chinese Journal of Semiconductors, Vol.31, No.5, pp. 0550031 - 0550037, 2010.
[578] Xiaoyu Zhang,Hanjun Jiang,Songyuan Cheng,Lingwei Zhang,Fule Li,Chun Zhang,Zhihua Wang, A High-Efficiency Work-on-Demand SoC with a 0.9V/165μW MCU and Dual-Band RF for WBSN, Chinese Journal of Electronics, pp. 1 - 4, 2010.
[579] Zhihua Wang,Xiaoyu Zhang,Lingwei Zhang,Chun Zhang,Songping Mai,Xiang Xie,Hanjun Jiang,Baoyong Chi, China Integrated Circuit, No.2, pp. 12 - 23, 2010.
[580] Xiaoman Wang,Baoyong Chi,Zhihua Wang, A low-power high-data-rate ASK IF receiver with a digital-control AGC loop, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.57, No.8, pp. 617 - 621, 2010.
[581] Xiaoyu Zhang,Hanjun Jiang,Lingwei Zhang,Xinkai Chen,Chun Zhang,Zhihua Wang, An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications, IEEE Transactions on Biomedical Circuits and Systems, Vol.4, No.1, pp. 11 - 18, 2010.
[582] Yuanfeng Sun,Xueyi Yu,Woogeun Rhee,Sangsoo Ko,Wooseung Choo,Byeong-Ha Park,Zhihua Wang, Dual-Path LC VCO Design With Partitioned Coarse-Tuning Control in 65 nm CMOS, IEEE Microwave and Wireless Components Letters, Vol.20, No.3, pp. 169 - 171 , 2010.
[583] Yuanfeng Sun,Xueyi Yu,Woogeun Rhee,Dawn Wang,Zhihua Wang, A Fast Settling Dual-Path Fractional-N PLL With Hybrid-Mode Dynamic Bandwidth Control, IEEE Microwave and Wireless Components Letters, Vol.20, No.8, pp. 462 - 464, 2010.
[584] Bo Zhou,Jian Qiao,Woogeun Rhee,Zhihua Wang, Relaxation Oscillator with Quadrature Triangular Waveform Generation, Electronic Letters, pp. 1 - 4, 2010.
[585] Xueyi Yu,Chengwen Liu,Woogeun Rhee,Zhihua Wang, Digitally controlled oscillator with FIR-embedded ΔΣ modulation, Electronic Letters, pp. 97 - 100, 2010.
[586] Hong Chen,Chen Jia,Wenhan Hao,Chun Zhang,Zhihua Wang,Chunsheng Liu, Power Harvesting With PZT Ceramics And Circuits Design, Analog Integrated Circuits and Signal Processing, Vol.62, No.2, pp. 263-268, 2010.
[587] Cong Shi,Nanjian Wu,Zhihua Wang, A high-speed vision processor based on pixel-parallel PE array and its applications, YC-ICT 2010, pp. 57 - 60, 2010.
[588] Xueyi Yu,Jian Qiao,Woogeun Rhee,Joon-Young Park,Kyongsu Lee,Zhihua Wang, A Semi-Digital Cascaded CDR With Fast Phase Acquisition and Adaptive Resolution Control, VLSI-DAT 2010, pp. 307 - 310, 2010.
[589] Liyuan Liu,Dongmei Li,Liangdong Chen,Chun Zhang,Shaojun Wei,Zhihua Wang, A 1V 663μW 15-bit Audio ΔΣ Modulator in 0.18μm CMOS, VLSI-DAT 2010, pp. 194 - 197, 2010.
[590] Karthik Jayaraman,Qadeer Khan,Baoyong Chi,William Beattie,Zhihua Wang,Patrick Chiang, A self-healing 2.4GHz LNA with on-chip S11/S21 measurement/calibration for in-situ PVT compensation, RFIC 2010, pp. 311 - 314, 2010.
[591] Jingchao Wang,Chun Zhang,Zhihua Wang, A low power low cost fully integrated UHF RFID reader with 17.6dBm output P1dB in 0.18 µm CMOS process, RFIC 2010, pp. 109 - 112, 2010.
[592] Yuanfeng Sun,Xueyi Yu,Woogeun Rhee,Sangsoo Ko,Wooseung Choo,Byeong-Ha Park,Zhihua Wang, Low-Noise Fractional-N PLL Design with Mixed-Mode Triple-Input LC VCO in 65nm CMOS, RFIC 2010, pp. 61 - 64, 2010.
[593] Xuguang Sun,Baoyong Chi,Chun Zhang,Ziqiang Wang,Zhihua Wang, A 1.8V 74mW UHF RFID reader receiver with 18.5dBm IIP3 and −77dBm sensitivity in 0.18μm CMOS, RFIC 2010, pp. 597 - 600, 2010.
[594] Wen Jia,Leibo Liu,Shouyi Yin,Min Zhu,Zhihua Wang, A fast complete deblocking filter on a coarse-grained reconfigurable processor supporting H.264 high profile decoding, PrimeAsia 2010, pp. 221 - 224, 2010.
[595] Linlin Chen,Ziqiang Wang,Chen Jia,Fule Li,Wenhan Hao,Bin Xiao,Chun Zhang,Zhihua Wang, A RF Remote-Control Transceiver with Zero-Standby Power Based on RFID Technology, PrimeAsia 2010, pp. 243 - 246, 2010.
[596] Jun Li,Ni Xu,Woogeun Rhee,Zhihua Wang, A -131dBc@1M PhaseNoise, 74% Spectral Efficiency, GA optimized FIR impulse radio UWB transmitter, PrimeAsia 2010, pp. 384 - 387, 2010.
[597] Chengwen Liu,Rui He,Xueyi Yu,Woogeun Rhee,Zhihua Wang, A Latency-Proof Quantization Noise Reduction Method for Digitally-Controlled Ring Oscillators, MWSCAS 2010, pp. 97 – 100, 2010.
[598] Woogeun Rhee,Ni Xu,Bo Zhou,Zhihua Wang, Low Power, Non Invasive UWB Systems for WBAN and Biomedical Applications, ICTC 2010, pp. 35 - 40, 2010.
[599] Changyi Yang,Xiaoxiao Zhao,Fule Li,Zhihua Wang, OTACAD:An Opamp Synthesis Tool Based on Simulation and Lookup Table, ICSICT 2010 , pp. 803 - 805, 2010.
[600] Wenfeng Lou,Xiaozhou Yan,Zhiqing Geng,Zhihua Wang,Nanjian Wu, Effective behavioral models for ΣΔ Fractional-N Frequency Synthesize phase noise prediction, ICSICT 2010, pp. 1895 - 1897, 2010.
[601] Ziqiang Wang,Kaimin Zhou,Linlin Chen,Chun Zhang,Zhihua Wang, Wireless monitoring system using novel capacitive sensor, ICSICT 2010, pp. 572 - 574, 2010.
[602] Pengpeng Yuan,Dongmei Li,Xin Wang,Li Yuan,Chun Zhang,Zhihua Wang, A 1V, 240 nW, 7 ppm/°C, high PSRR CMOS voltage reference circuit with curvature-compensation, ICSICT 2010, pp. 463 - 465, 2010.
[603] Ling Fu,Baoyong Chi,Hongxing Feng,Zhihua Wang, A 5M-50M Reconfigurable Gm-C Low-pass Filter in 130nm CMOS for SDR Receivers, ICSICT 2010, pp. 315 - 317, 2010.
[604] Liangdong Chen,Liyuan Liu,Dongmei Li,Chun Zhang,Zhihua Wang, A 1V 210µW 98dB SFDR Audio ΔΣ Modulator in 180nm Standard CMOS, ICSICT 2010, pp. 379 - 381, 2010.
[605] Shaopeng Wang,Yannan Ren,Changyi Yang,Fule Li,Zhihua Wang, A 200MS/s 10-bit Current-steering D/A Converter with On-chip Testbench, ICSICT 2010, pp. 296 - 298, 2010.
[606] Zhuo Zhang,Jun Li,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang, A Digitally Reconfigurable Auto Amplitude Calibration Method for Wide Tuning Range VCO Design, ICSICT 2010, pp. 542 - 544, 2010.
[607] Ran Yu,Hanjun Jiang,Lingwei Zhang,Chun Zhang,Zhihua Wang, A hybrid regulator with boost charge pump and low-dropout linear regulation, ICSICT 2010, pp. 587 - 589, 2010.
[608] Guohe Yin,U-Fat Chio,He-Gong Wei,Sai-Weng Sin,U. Seng-Pan,R.P. Martins,Zhihua Wang, An ultra low power 9-bit 1-MS/s pipelined SAR ADC for bio-medical applications, ICECS 2010, pp. 878 - 881, 2010.
[609] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Yangdong Deng,Ziqiang Wang,Zhihua Wang, An asymmetric resonant coupling wireless power transmission link for Micro-Ball Endoscopy, EMBC 2010, pp. 6531 - 6534, 2010.
[610] Hong Chen,Ming Liu,Weiyi Wan,Chen Jia,Chun Zhang,Zhihua Wang, Low-power Circuits Design for the Wireless Force Measurement System of the Total Knee Arthroplasty, EMBC 2010, pp. 3539 - 3542, 2010.
[611] Yingke Gu,Xiang Xie,Guolin Li,Tianjia Sun,Qiang Zhang,Ziqiang Wang,Zhihua Wang, A new system design of the multi-view Micro-Ball endoscopy system, EMBC 2010, pp. 6409 - 6412, 2010.
[612] Xiaobo Cai,Fule Li,Weitao Li,Chun Zhang,Zhihua Wang, A 12bit 100MSps Pipelined ADC without Calibration, CISP 2010, pp. 3547 - 3552, 2010.
[613] Xiaoliang Yao,Liyuan Liu,Dongmei Li,Liangdong Chen,Zhihua Wang, A 90dB DR audio delta-sigma DAC with headphone driver for hearing aid, CISP 2010, pp. 2890 - 2893, 2010.
[614] Xiaoyu Zhang,Hanjun Jiang,Fule Li,Songyuan Cheng,Chun Zhang,Zhihua Wang, An Energy-Efficient SoC for Closed-Loop Medical Monitoring and Intervention, CICC 2010, pp. 1 - 4, 2010.
[615] Dan Wang,Xiang Xie,Guolin Li,Yingke Gu,Tianjia Sun,Zhihua Wang, Research on Image Registration for 2D Representation of GI tract in Micro-Ball Endoscopy System, BMEI 2010, pp. 514 - 517, 2010.
[616] Bo Zhou,Rui He,Jian Qiao,Jinghui Liu,Woogeun Rhee,Zhihua Wang, A Low Data Rate FM-UWB Transmitter with Δ−Σ Based Sub-Carrier Modulation and Quasi-Continuous Frequency-Locked Loop, A-SSCC 2010, pp. 1 - 4, 2010.
[617] Liyuan Liu,Dongmei Li,Liangdong Chen,Chun Zhang,Shaojun Wei,Zhihua Wang, A 1V 350μW 92dB SNDR 24 kHz ΔΣ modulator in 0.18μm CMOS, A-SSCC 2010, pp. 1 - 4, 2010.
[618] Hanjun Jiang,Fule Li,Xinkai Chen,Yanqing Ning,Xu Zhang,Bin Zhang,Teng Ma,Zhihua Wang, A SoC with 3.9mW 3Mbps UHF Transmitter and 240μW MCU for Capsule Endoscope with Bidirectional Communication, A-SSCC 2010, pp. 1 - 4, 2010.
[619] Rui He,Chengwen Liu,Xueyi Yu,Woogeun Rhee,Joon Young Park,Kyongsu Lee,Changhyun Kim,Zhihua Wang, A Low-Cost, Leakage-Insensitive Semi-Digital PLL with Linear Phase Detection And FIR-Embedded Digital Frequency Acquisition, A-SSCC 2010, pp. 1 - 4, 2010.
[620] Yutao Liu,Woogeun Rhee,Ziqiang Wang,Zhihua Wang, Power and jitter optimized VCO design using an on-chip supply noise monitoring circuit, APCCAS 2010, pp. 939 - 942, 2010.
[621] Kaimin Zhou,Ziqiang Wang,Chun Zhang,Zhihua Wang, Fully-differential low-offset interface for capacitive sensors, APCCAS 2010, pp. 788 - 791, 2010.
[622] Tianjia Sun,Xiang Xie,Guolin Li,Yingke Gu,Xiaomeng Li,Zhihua Wang, A Wireless Energy Link for Endoscopy with End-Fire Helix Emitter and Load-Adaptive Power Converter, APCCAS 2010, pp. 32 - 35, 2010.
[623] Jun Li,Ni Xu,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang, Reconfigurable, Fast AFC Technique Using Code Estimation and Binary Search Algorithm for 0.2-6GHz Software-Defined Radio Frequency Synthesis, APCCAS 2010, pp. 1135 - 1138, 2010.
[624] Xiaoyu Zhang,Hanjun Jiang,Chun Zhang,Zhihua Wang, A High-Energy-Efficiency Link Scheme for Closed-Loop Medical Monitoring and Intervention, APCCAS 2010, pp. 488 - 491, 2010.
[625] Xiaoyu Zhang,Hanjun Jiang,Binjie Zhu,Xinkai Chen,Chun Zhang,Zhihua Wang, A Low-Power Remotely-Programmable MCU for Implantable Medical Devices, APCCAS 2010, pp. 28 - 31, 2010.
[626] Xiaowen Li,Milin Zhang,Zhihua Wang,Amine Bermak, Smart Image Sensor with Integrated Low Complexity Image Processing for Wireless Endoscope Capsules, Tsinghua Science and Technology, Vol.14, No.5, pp. 586 - 592, 2009.
[627] Qiuling Zhu,Chun Zhang,Xiaohui Wang,Zhongqi Liu,Yongmin Li,Zhihua Wang, ASIC Implementation of Low Power Baseband Processor for UHF RFID Tag, Semiconductor Technology, Vol.34, No.2, pp. 172 - 176, 2009.
[628] Wei Liu,Yongmin Li,Chun Zhang,Zhihua Wang, A Self-Adaptive Low-Voltage Current Mode ASK Demodulator for RFID Tags, Semiconductor Technology, Vol.34, No.1, pp. 10 - 13, 2009.
[629] Xi Chen,Ziqiang Wang,Chun Zhang,Zhihua Wang, Intermediate Frequency Programmable Gain Amplifier with DC Offset Cancellation Loop, Semiconductor Technology, Vol.34, No.10, pp. 1041 - 1045, 2009.
[630] Wei Liu,Yongming Li,Chun Zhang,Zhihua Wang, Self-Adaptive Low-Voltage Current Mode ASK Demodulator for RFID Tags, Semiconductor Technology, Vol.34, No.1, pp. 10 - 13, 91, 2009.
[631] Xinkai Chen,Xiaoyu Zhang,Hanjun Jiang,Zhihua Wang, Design of digital-analog mix-mode baseband processing ASIC for wireless endoscopic system, Journal of Tsinghua University (Science and Technology), Vol.49, No.7, pp. 994 - 997, 2009.
[632] Changmin Ma,Chun Zhang,Yongming Li,Zhihua Wang, A Low-power Rectifier and OOK Demodulator for Passive UHF RFID Transponders, Journal of Circuits and Systems, Vol.14, No.3, pp. 12 - 16, 2009.
[633] Kun Yang,Chun Zhang,Zhihua Wang, Design of adaptive deblocking filter for H.264/AVC decoder SOC, Journal of China Universities of Posts and Telecommunications, Vol.16, No.1, pp. 91 - 94, 110, 2009.
[634] Wenhan Hao,Chen Jia,Hong Chen,Chun Zhang,Zhihua Wang, A variable step-down conversion ratio switched capacitor DC-DC converter for energy harvesting systems working in intermittent mode, Chinese Journal of Semiconductors, Vol.30, No.12, pp. 1250081 - 5, 2009.
[635] Chen Jia,Wenhan Hao,Hong Chen,Chun Zhang,Zhihua Wang, A low power bandgap reference with buffer working in the sub-threshold region, Chinese Journal of Semiconductors, Vol.30, No.7, pp. 0750141 - 5, 2009.
[636] Tongqiang Gao,Chun Zhang,Baoyong Chi,Zhihua Wang, Design and analysis of a highly-integrated CMOS power amplifier for RFID readers, Chinese Journal of Semiconductors, Vol.30, No.6, pp. 0650081 - 0650085, 2009.
[637] Chunyuan Zhou,Guolin Li,Chun Zhang,Baoyong Chi,Dongmei Li,Zhihua Wang, A Fractional-N Frequency Synthesizer for WCDMA/Bluetooth/ZigBee Applications, Chinese Journal of Semiconductors, Vol.30, No.7, pp. 075008-1-5, 2009.
[638] Zhongqi Liu,Chun Zhang,Yongming Li,Zhihua Wang, Low Modulation Index RF Signal Detection for Passive UHF RFID Transponder, Chinese Journal of Semiconductors, Vol.30, No.9, pp. 095005 - 1 - 4, 2009.
[639] Jingchao Wang,Chun Zhang,Baoyong Chi,Ziqiang Wang,Fule Li,Zhihua Wang, A Low Cost Integrated Transceiver for Mobile UHF Passive RFID Reader Applications, Chinese Journal of Semiconductors, Vol.30, No.9, pp. 095007 - 1 - 5, 2009.
[640] Guoqin Yao,Baoyong Chi,Chun Zhang,Zhihua Wang, A Dual-Band Reconfigurable Direct-Conversion Receiver RF Front-end, Chinese Journal of Semiconductors, No.9, pp. 91 - 95, 2009.
[641] Yi Chen,Fule Li,Hong Chen,Chun Zhang,Zhihua Wang, A Low Power Cyclic ADC Design for the Wireless Monitoring System of the Orthopedic Implants, Chinese Journal of Semiconductors, Vol.30, No.8, pp. 147 - 152, 2009.
[642] Dandan Guo,Fule Li,Chun Zhang,Zhihua Wang, A 13-bit, 8 MSample/s Pipeline A/D Converter, Chinese Journal of Semiconductors, Vol.30, No.2, pp. 0250061 - 5, 2009.
[643] Xinkai Chen,Xiaoyu Zhang,Xiaowen Li,Hanjun Jiang,Chun Zhang,Zhihua Wang, An Area and Power Efficient Near-Lossless Image Compressor for Medical Application, Chinese Journal of Electronics, Vol.18, No.3, pp. 439 - 443 , 2009.
[644] Shuguang Han,Baoyong Chi,Zhihua Wang, New Implementation of High Linear LNA Using Derivative Superposition method, Microelectronics Journal, Vol.40, No.1, pp. 197 - 201, 2009.
[645] Baoyong Chi,Li Zhang,Woogeun Rhee,Zhihua Wang,Hongyi Chen, A 2.4 GHz 6.6 mA fully differential CMOS PLL frequency synthesizer, International Journal of Electronics, Vol.96, No.10, pp. 1039 - 1056, 2009.
[646] Hong Chen,Ming Liu,Chen Jia,Zhihua Wang, Power Harvesting Using PZT Ceramics Embedded in Orthopedic Implants, IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, Vol.56, No.9, pp. 2010 - 2014, 2009.
[647] Baoyong Chi,Jinke Yao,Patrick Chiang,Zhihua Wang, A 0.18-um CMOS GFSK Analog Front End Using a Bessel-Based Quadrature Discriminator with On-Chip Automatic Tuning, IEEE Transactions on Circuits and Systems I-Regular Papers, Vol.56, No.11, pp. 2498 - 2510, 2009.
[648] Baoyong Chi,Jinke Yao,Patrick Chiang,Zhihua Wang, A Fast-Settling Wideband-IF ASK Baseband Circuit for a Wireless Endoscope Capsule, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.56, No.4, pp. 275 - 279, 2009.
[649] Hong Chen,Ming Liu,Wenhan Hao,Yi Chen,Chun Zhang,Zhihua Wang, Low-Power Circuits for the Bidirectional Wireless Monitoring System of the Orthopedic Implants, IEEE Transactions on Biomedical Circuits and Systems, Vol.3, No.6, pp. 437 - 443, 2009.
[650] Xinkai Chen,Xiaoyu Zhang,Lingwei Zhang,Xiaowen Li,Nan Qi,Hanjun Jiang,Zhihua Wang, A Wireless Capsule Endoscope System with Low-Power Controlling and Processing ASIC, IEEE Transactions on Biomedical Circuits and Systems, Vol.3, No.1, pp. 11 - 22, 2009.
[651] Vadim Gutnik,Nikolaus Klemmer,Zhihua Wang,Michael Green,Roland Thewes, Introduction to the Special Issue on the 2009 IEEE International Solid-State Circuits Conference, IEEE Journal of Solid-State Circuits, Vol.44, No.12, pp. 3227 - 3231, 2009.
[652] Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang, An FIR-embedded noise filtering method for ΔΣ fractional-N PLL clock generators, IEEE Journal of Solid-State Circuits, Vol.44, No.9, pp. 2426 - 2436, 2009.
[653] Li Zhang,Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Wang, D.,Zhihua Wang,Hongyi Chen, A hybrid spur compensation technique for finite-modulo fractional-N phase-locked loops, IEEE Journal of Solid-State Circuits, Vol.44, No.11, pp. 2922 - 2934, 2009.
[654] Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Hyung Ki Ahn,Byeong-Ha Park,Zhihua Wang, A ΔΣ fractional-N synthesizer with customized noise shaping for WCDMA/HSDPA applications, IEEE Journal of Solid-State Circuits, Vol.44, No.8, pp. 2193 - 2201, 2009.
[655] Shuilong Huang,Zhihua Wang, Behavioral modeling and simulation of fractional-N frequency synthesizer, Analog Integrated Circuits and Signal Processing, Vol.59, No.3, pp. 317 - 323, 2009.
[656] Qiuling Zhu,Chun Zhang,Xiaohui Wang,Ziqiang Wang,Fule Li,Zhihua Wang, VLSI Design of Spread Spectrum Encoding Low Power RFID Tag Baseband Processor, VLSI-DAT 2009, pp. 191 – 194, 2009.
[657] Tongqiang Gao,Jingchao Wang,Chun Zhang,Baoyong Chi,Zhihua Wang, A Novel CMOS Transmitter Front-end for Mobile RFID Reader, RFIC 2009, pp. 105 - 108, 2009.
[658] Liyuan Liu,Liangdong Chen,Dongmei Li,Zhihua Wang,Shaojun Wei, A 1.1mW 87dB dynamic range audio ΔΣ modulator in 0.18µm CMOS, PrimeAsia 2009, pp. 17 - 20, 2009.
[659] Fan Zhang,Zhihua Wang,Xin Wang,He Tang,Qiang Fang,A. Wang,Wei Chen,Lee Yang,Bin Zhao, G. Zhang, Xingang Wang, Design optimization and modeling of on-chip RF inductors in 0.13µm and 90nm standard CMOS, MWSCAS 2009, pp. 975 - 978, 2009.
[660] Xueyi Yu,Woogeun Rhee,Zhihua Wang,Jung-Bae Lee,Changhyun Kim, A 0.4-to-1.6GHz low-OSR ΔΣ DLL with self-referenced multiphase generation, ISSCC 2009, pp. 398 - 399, 2009.
[661] Yuanfeng Sun,Jian Qiao,Jun Li,Rui He,Chengwen Liu,Woogeun Rhee,Sung Hun Woo,Zhihua Wang, A Low-Cost, Multi-Standard ΔΣ Fractional-N Synthesizer Design for WiMAX/WLAN Applications, ISOCC 2009, pp. 100 - 103, 2009.
[662] Jian Chen,Xueyu Yu,Li Yugen,Zhihua Wang, Customized Zero Frequency Control for Hybrid FIR Noise Filtering in ΔΣ Fractional-N PLL, ISCAS 2009, pp. 2401 - 2404, 2009.
[663] Zhongqi Liu,Chun Zhang,Yongming Li,Ziqiang Wang,Zhihua Wang, A Novel Demodulator for Low Modulation Index RF Signal in Passive UHF RFID Tag, ISCAS 2009, pp. 2109 - 2112, 2009.
[664] Xiaoyu Zhang,Hanjun Jiang,Xinkai Chen,Lingwei Zhang,Zhihua Wang, An Energy Efficient Implementation of On-Demand MAC Protocol in Medical Wireless Body Sensor Networks, ISCAS 2009, pp. 3094 - 3097, 2009.
[665] Rui He,Jun Li,Woogeun Rhee,Zhihua Wang, Transient Analysis of Nonlinear Settling Behavior in Charge-Pump Phase-Locked Loop Design, ISCAS 2009, pp. 469 - 472, 2009.
[666] Qiuling Zhu,Chun Zhang,Zhongqi Liu,Jingchao Wang,Fule Li,Zhihua Wang, A Robust Radio Frequency Identification System Enhanced with Spread Spectrum Technique, ISCAS 2009, pp. 37 - 40, 2009.
[667] Y.S. Deng,Shuai Mu,Zhihua Wang, Toward EDA computing on GPUs, ICCCAS 2009, pp. 1119 - 1123, 2009.
[668] Weitao Li,Fule Li,Chun Zhang,Zhihua Wang, A Digital Background Calibration Algorithm Based on Code Occurrence Count for Pipelined ADCs, ICCCAS 2009, pp. 550 - 553, 2009.
[669] Chen Jia,Hong Chen,Wenhan Hao,Chun Zhang,Zhihua Wang, A Charge Recycling Method for Step-Down SC Converter in Energy Harvesting Systems, ICCCAS 2009, pp. 720 - 723, 2009.
[670] Yannan Ren,Fule Li,Chun Zhang,Zhihua Wang, A 400MS/s 10-bit current-steering D/A Converter, ICCCAS 2009, pp. 533 - 536, 2009.
[671] Jun Li,Woogeun Rhee,Zhihua Wang, A Dual-Carrier IR-Based UWB Transmitter with Improved Spectral Efficiency, ICCCAS 2009, pp. 788 - 792, 2009.
[672] Jingjing Che,Zhongqi Liu,Chun Zhang,Zhihua Wang, Ultra-Low-Voltage Low-Power Charge Pump for Solar Energy Harvesting Systems, ICCCAS 2009, pp. 674 - 677, 2009.
[673] Jingchao Wang,Chun Zhang,Baoyong Chi,Ziqiang Wang,Zhihua Wang, A Fully Integrated CMOS UHF RFID Reader Transceiver for Handheld Applications, CICC 2009, pp. 495 - 498, 2009.
[674] Sen Chen,Yongming Li,Zhihua Wang,Sunny Zhang, Multi-Window Detector For Spectrum Sensing In Cognitive Radio, CCWMC 2009, pp. 291 – 294, 2009.
[675] Songping Mai,Chun Zhang,Zhihua Wang, An application-specific low power speech processor for cochlear implants, BioCAS 2009, pp. 177 - 180, 2009.
[676] Yingke Gu,Xiang Xie,Ziqiang Wang,Guolin Li,Tianjia Sun,Nan Qi,Chun Zhang,Zhihua Wang, A New Globularity Capsule Endoscopy System with Multi-Camera, BioCAS 2009, pp. 289 - 292, 2009.
[677] Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Sangsoo Ko,Wooseung Choo,Byeong-Ha Park,Zhihua Wang, A 65nm CMOS 3.6GHz fractional-N PLL with 5th-order ΔΣ modulation and weighted FIR filtering, A-SSCC 2009, pp. 77 - 80, 2009.
[678] Yang Xu,Baoyong Chi,Zhihua Wang, A Low Power Self-Sampling IF FSK Receiver, ASICON 2009, pp. 1113 - 1116, 2009.
[679] Xiaoman Wang,Baoyong Chi,Zhihua Wang, A Low Power High Date Rate ASK IF Receiver, ASICON 2009, pp. 473 - 476, 2009.
[680] Fan Chen,Baoyong Chi,Zhihua Wang, A 2.4 GHz wireless transmitter front-end for endoscopy capsule system, ASICON 2009, pp. 465 - 468, 2009.
[681] Nan Zhang,Xin Wang,He Tang,Albert Wang,Zhihua Wang,Baoyong Chi, Low-Voltage and High-Speed FPGA I/O Cell Design in 90nm CMOS, ASICON 2009, pp. 533 - 536, 2009.
[682] Jingchao Wang,Chun Zhang,Baoyong Chi,Zhihua Wang, Analysis and Design of a Fully Integrated SoC for UHF RFID Reader in CMOS Technology, ASICON 2009, pp. 415 - 418, 2009.
[683] Weitao Li,Fule Li,Dandan Guo,Chun Zhang,Zhihua Wang, An Undersampling 14-bit Cyclic ADC, ASICON 2009, pp. 211 - 214, 2009.
[684] Chengzhang Yao,Yongming Li,Zhihua Wang,Sunny Zhang, A 0.18 μm CMOS Programmable Interference Canceller for Cognitive Radio Front-End, ASICON 2009, pp. 391 - 394, 2009.
[685] Kun Yang,Chun Zhang,Zhihua Wang, Specific Processor Design for Bit-stream Decoding in H.264 Baseline Profile, Video Engineering, Vol.32, No.2, pp. 27 - 29, 2008.
[686] Kun Yang,Chun Zhang,Zhihua Wang, Optimization and Hardware Implementation of H.264/AVC Luma Interpolation Algorithm, Video Engineering, Vol.32, No.8, pp. 28 - 30, 2008.
[687] Yuanlu Xie,Chun Zhang,Zhihua Wang, Audio Multiplexing and Demultiplexing System Design Based on SMPTE 272M, Video Engineering, Vol.32, No.7, pp. 20 - 23, 2008.
[688] Yuanshu Luo,Chun Zhang,Zhihua Wang, Low Cost Logical Design of RFID Tag Based on ISO/IEC 18000-6B Protocol, Semiconductor Technology, Vol.33, No.4, pp. 352 - 355, 2008.
[689] Hong Chen,Chen Jia,Ming Liu,Zhihua Wang, Study on Power Harvesting Using PZT Ceramics in Orthopaedic Implants, Piezoel Ectectrics & Acoustooptics, Vol.30, No.1, pp. 96 - 99, 2008.
[690] Xinpeng Xing,Dongmei Li,Zhihua Wang, An Overview of the Research on CMOS Bandgap Reference Sources, Microelectronics, Vol.38, No.1, pp. 57 - 63, 2008.
[691] Weifu Xie,Yongmin Li,Chun Zhang,Zhihua Wang, Design of a 780-930 MHz Low-Power / Low-Noise LCVCO, Microelectronics, Vol.38, No.3, pp. 407 - 410, 2008.
[692] Min Li,Jingchao Wang,Chun Zhang,Yongmin Li,Zhihua Wang, The Application of Spread Spectrum Technique Communication in Radio Frequency Identi-fication System, Microcomputer Information, Vol.24, No.4-2, pp. 209 - 211, 2008.
[693] Haifeng Wang,Jingchao Wang,Chun Zhang,Zhihua Wang, Design of an UHF RFID Interrogator, Microcomputer Information, Vol.24, No.3-2, pp. 233 - 235, 221, 2008.
[694] Hong Chen,Chen Jia,Ming Liu,Zhihua Wang, Power harvesting and circuit design using PZT piezoelectric ceramics in orthopaedic implants, Journal of Tsinghua University (Science and Technology), Vol.48, No.1, pp. 128 - 131, 136, 2008.
[695] Xiaowen Li,Guolin Li,Xiang Xie,Zhihua Wang, A vector quantization based approach for CFA data compression in wireless endoscopy capsule, Journal of Electronics (China), Vol.25, No.6, pp. 834 - 839, 2008.
[696] Xinpeng Xing,Dongmei Li,Zhihua Wang, A Novel CMOS Current Mode Bandgap Reference, Chinese Journal of Semiconductors, Vol.29, No.7, pp. 1249 - 1253, 2008.
[697] Xinpeng Xing,Dongmei Li,Zhihua Wang, A Near-1V 10ppm/℃ CMOS Bandgap Reference with Curvature Compensation, Chinese Journal of Semiconductors, Vol.29, No.1, pp. 24 - 28, 2008.
[698] Tongqiang Gao,Chun Zhang,Baoyong Chi,Zhihua Wang, A CMOS Power Amplifier with 100% and 18% Modulation Depth for Mobile RFID Readers, Chinese Journal of Semiconductors, Vol.29, No.6, pp. 1044 - 1047, 2008.
[699] Fule Li,Jingbo Duan,Zhihua Wang, A High Linearity,13bit Pipelined CMOS ADC, Chinese Journal of Semiconductors, Vol.29, No.3, pp. 497 - 501, 2008.
[700] Weifu Xie,Yongmin Li,Chun Zhang,Zhihua Wang, CMOS Implementation of an RF PLL Synthesizer for Use in RFID Systems, Chinese Journal of Semiconductors, Vol.29, No.8, pp. 1595 - 1601, 2008.
[701] Zhongqi Liu,Xuguang Sun,Rongrong Bai,Chun Zhang,Yongming Li,Zhihua Wang, A Passive NCITS 256 UHF RFID transponder, Chinese Journal of Semiconductors, Vol.29, No.4, pp. 719 - 723, 2008.
[702] Tianbao Gao,Jingchao Wang,Chun Zhang,Yongmin Li,Zhihua Wang, Design of a modulator and demodulator for UHF RFID readers, Chinese Journal of Semiconductors, Vol.29, No.7, pp. 1403 - 1406, 2008.
[703] Xinkai Chen,Hanjun Jiang,Zhihua Wang, An Integrated Power Management Unit for Battery-operated Wireless Endoscopic System, Chinese Journal of Semiconductors, Vol.29, No.11, pp. 2245 - 2251, 2008.
[704] Songping Mai,Chun Zhang,Jun Chao,Zhihua Wang, A New Cochlear Prosthetic System with an Implanted DSP, Chinese Journal of Semiconductors, Vol.29, No.9, pp. 1745 - 1752, 2008.
[705] Tianbao Gao,Jingchao Wang,Chun Zhang,Yongmin Li,Zhihua Wang, Design and realization of a portable RFID reader, Application of Electronic Technique, Vol.34, No.5, pp. 56 - 58, 2008.
[706] Fule Li,Jingbo Duan,Zhihua Wang, A Capacitor Paring Technique for Capacitor Mismatch Reduction, Acta Electronica Sinica, Vol.36, No.2, pp. 338 - 341, 2008.
[707] Shuilong Huang,Zhihua Wang, System Design Considerations of Highly-Integrated ΣΔ Fractional-N Frequency Synthesizer, Journal of Circuits Systems and Computers, Vol.17, No.2, pp. 169 - 181, 2008.
[708] Chen Jia,Hong Chen,Ming Liu,Chun Zhang,Zhihua Wang, Integrated power management circuit for piezoelectronic generator in wireless monitoring system of orthopaedic implants, IET Circuits Devices & Systems, Vol.2, No.6, pp. 485 - 494, 2008.
[709] Hanjun Jiang,Lingwei Zhang,Chun Zhang,Zhihua Wang, Wireless Switch for Implantable Medical Devices Based on Passive RF Receiver, Electronics Letters, Vol.44, No.17, pp. 1006 - 1008, 2008.
[710] Haolu Xie,Xin Wang,A. Wang,Bin Zhao,Yumei Zhou,Bo Qin,Hongyi Chen,Zhihua Wang, A varying pulse width 5th-derivative gaussian pulse generator for UWB transceivers in CMOS, RWS 2008, pp. 171 - 174, 2008.
[711] Xueyi Yu,Yuanfeng Sun,Li Zhang,Woogeun Rhee,Zhihua Wang, A 1GHz Fractional-N PLL Clock Generator with Low-OSR ΔΣ Modulation and FIR-Embedded Noise Filtering, ISSCC 2008, pp. 346 - 347. , 2008.
[712] Baoyong Chi,Chun Zhang,Zhihua Wang, Bandwidth extension for ultra-wideband CMOS low-noise amplifiers, ISCAS 2008, pp. 968 - 971, 2008.
[713] Ming Liu,Hong Chen,Run Chen,Zhihua Wang, Low-Power IC Design for a Wireless BCI system, ISCAS 2008, pp. 1560 - 1563, 2008.
[714] Tongqiang Gao,Chun Zhang,Baoyong Chi,Zhihua Wang, An improved method of power control with CMOS class-E power amplifiers, ISCAS 2008, pp. 2306 - 2309, 2008.
[715] Xueyi Yu,Guolin Li,Lingwei Zhang,Zhihua Wang, Design and test of a miniature 2.45 GHz antenna for implantable medical devices, IMS3TW 2008, pp. 1 - 5, 2008.
[716] Zhihao Jiang,Huiwen Yu,Liji Wu,Chen Jia,Chun Zhang,Zhihua Wang, Key module design of a battery-less TPMS on chip, ICSICT 2008, pp. 1941 -1944, 2008.
[717] Guoqin Yao,Baoyong Chi,Chun Zhang,Zhihua Wang, A low-power monolithic reconfigurable direct-conversion receiver RF front-end for 802.11a/b/g applications, ICSICT 2008, pp. 1460 - 1463, 2008.
[718] Mingchen Ni,Guolin Li,Chun Zhang,Dongmei Li,Zhihua Wang, A wide-band RF front-end for multi-standard application, ICSICT 2008, pp. 1472 - 1474, 2008.
[719] Jingchao Wang,Baoyong Chi,Xuguang Sun,Tongqiang Gao,Chun Zhang,Zhihua Wang, System design considerations of highly-integrated UHF RFID reader transceiver RF front-end, ICSICT 2008, pp. 1560 - 1563, 2008.
[720] Tongqiang Gao,Baoyong Chi,Chun Zhang,Zhihua Wang, Design and analysis of a highly integrated CMOS power amplifier for RFID reader, ICCS 2008, pp. 1480 - 1483, 2008.
[721] Tongqiang Gao,Chun Zhang,Jingchao Wang,Baoyong Chi,Zhihua Wang, Design consideration of an multimode, power-controllable transmitter for UHF mobile RFID reader, ICCS 2008, pp. 484 - 1488, 2008.
[722] Dandan Guo,Fule Li,Jingbo Duan,Chun Zhang,Zhihua Wang, A 13-bit CMOS pipeline analog-to-digital converter with improved sampling circuits, ICCCAS 2008, pp. 1048 - 1052, 2008.
[723] Zhihua Wang,Xiaoyu Zhang,Xinkai Chen,Lingwei Zhang,Hanjun Jiang, An energy-efficient ASIC with real-time work-on-demand for wireless body sensor network, EDSSC 2008, pp. 1 - 6, 2008.
[724] Zhihua Wang,Songping Mai,Chun Zhang, Power issues on circuit design for cochlear implants, DELTA 2008, pp. 163 - 166, 2008.
[725] Hong Chen,Chen Jia,Yi Chen,Ming Liu,Chun Zhang,Zhihua Wang, A low-power IC design for the wireless monitoring system of the orthopedic implants, CICC 2008, pp. 363 - 366 , 2008.
[726] Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang,Hyung Ki Ahn,Byeong-Ha Park, A ΔΣ fractional-N synthesizer with customized noise shaping for WCDMA/HSDPA applications, CICC 2008, pp. 753 - 756, 2008.
[727] Milin Zhang,A. Bermak,Xiaowen Li,Zhihua Wang, A low power CMOS image sensor design for wireless endoscopy capsule, BioCAS 2008, pp. 397 - 400, 2008.
[728] Lingwei Zhang,Hanjun Jiang,Xuguang Sun,Chun Zhang,Zhihua Wang, A Passive RF Receiving and Power Switch ASIC for Remote Power Control with Zero Stand-by Power, A-SSCC 2008, pp. 109 - 112, 2008.
[729] Xinkai Chen,Xiaoyu Zhang,Lingwei Zhang,Nan Qi,Hanjun Jiang,Zhihua Wang, A Wireless Capsule Endoscopic System with a Low-Power Controlling and Processing ASIC, A-SSCC 2008, pp. 321 - 324, 2008.
[730] Li Zhang,Xueyi Yu,Yuanfeng Sun,Woogeun Rhee,Zhihua Wang,Hongyi Chen,Wang, D., A hybrid spur compensation technique for finite-modulo fractional-N phase-locked loops, A-SSCC 2008, pp. 417 - 420, 2008.
[731] Xiaoyu Zhang,Hanjun Jiang,Zhihua Wang, Using asynchronous circuits for communications in wireless endoscopic capsule, APCCAS 2008, pp. 1244 - 1247, 2008.
[732] Changming Ma,Xingjun Wu,Chun Zhang,Zhihua Wang, A low-power RF front-end of passive UHF RFID transponders, APCCAS 2008, pp. 73 - 76, 2008.
[733] Songping Mai,Chun Zhang,Zhihua Wang, Function-based memory partitioning on low power digital signal processor for cochlear implants, APCCAS 2008, pp. 654 - 657, 2008.
[734] Guoze Du,Chun Zhang,Kun Yang,Zhihua Wang, Implementation of IQ/IDCT for H.264, Video Engineering, Vol.31, No.8, pp. 23 - 25, 2007.
[735] Xiang Xie,Guolin Li,Zhihua Wang, A Low Complexity and High Efficient Method for Image Compression with Bayer CFAs, Tsinghua Science and Technology, Vol.12, No.1, pp. 22 - 29, 2007.
[736] Yanqing Ning,Zhihua Wang,Hongyi Chen, Structure and Feature of Cross-Coupled MOS FET in CMOS LC VCO, Semiconductor Technology, Vol.32, No.7, pp. 21 - 25, 2007.
[737] Xiang Xie,Guolin Li,Chun Zhang,Zhihua Wang, Design of Bi-Directional,Digital and Miniaturized Wireless Endoscopy System, Research & Progress of SSE Solid State Electronics, Vol.27, No.1, pp. 123 - 129, 2007.
[738] Jing Yang,Huainan Ma,Yongming Li,Zhihua Wang, Design of Direct Digital-RF Modulator, Microelectronics & Computer, Vol.24, No.11, pp. 145 - 146, 151, 2007.
[739] Rong Lin,Guolin Li,Dongmei Li,Xiaowen Li,Xinkai Chen,Xiang Xie,Zhihua Wang, Back-end Design of the Digital ASIC for Wireless Endoscope Capsule, Microelectronics & Computer, Vol.24, No.4, pp. 8 - 11, 2007.
[740] Xiaoyu Zhang,Xiang Xie,Guolin Li,Zhihua Wang, VLSI Design of Baseband Channel Controller in Wireless Endoscope Capsule, Microelectronics, Vol.37, No.3, pp. 382 - 389, 2007.
[741] Rongrong Bai,Yongming Li,Chun Zhang,Zhihua Wang, Novel Low-Voltage / Low-Power ASK Demodulator for RFID Tags, Microelectronics, Vol.37, No.6, pp. 790 - 793, 2007.
[742] Hong Chen,Ming Liu,Chen Jia,Chun Zhang,Zhihua Wang, Low Power IC Design of Wireless Monitoring System for Orthopedic Implants, Microelectronics, Vol.37, No.5, pp. 717 - 725, 2007.
[743] Tongqiang Gao,Baoyong Chi,Zhihua Wang, A method for power controller using CMOS class-E power amplifiers, Journal of Tsinghua University (Science and Technology), Vol.47, No.10, pp. 1669 - 1672, 2007.
[744] Xiaowen Li,Xinkai Chen,Guolin Li,Zhihua Wang, VLSI design of a low power, fully pipelined JPEG-LS encoder for lossless image compression, Journal of Tsinghua University (Science and Technology), Vol.47, No.10, pp. 1654 - 1657, 2007.
[745] Zhenhua Wang,Chun Zhang,Yongming Li,Zhihua Wang, Simulation and Design of On-Chip Loop Antenna for 2.4GHz RFID Application, Journal of Microwaves, Vol.23, No.3, pp. 24 - 28, 2007.
[746] Xiang Xie ,Guolin Li,Zhihua Wang, A Low Complexity and High Efficient Near-lossless and Lossless Image Compression Algorithm for Wireless Endoscopy System, Journal of Electronics & Information Technology, Vol.29, No.3, pp. 616 - 621, 2007.
[747] Shuilong Huang,Zhihua Wang, An Adaptive PLL Architecture to Achieve Fast Settling Time, Journal of Electronics & Information Technology, Vol.29, No.6, pp. 1492 - 1495, 2007.
[748] Songping Mai,Chun Zhang,Kun Yang,Zhihua Wang, Research on Open-Source Hardware Based Design Method, Journal of Electronics & Information Technology, Vol.29, No.7, pp. 1761 - 1764, 2007.
[749] Yanqing Ning,Zhihua Wang,Hongyi Chen, Pseudo Operation Point and Design of Ultra Broadband CMOS LC VCO, Journal of Electron Devices, Vol.30, No.2, pp. 349 - 352, 2007.
[750] Jingchao Wang,Chun Zhang,Yongming Li,Zhihua Wang, The application of real-time spectrum analysis in radio frequency identification technique, Journal of Circuits and Systems, Vol.12, No.2, pp. 124 - 126, 2007.
[751] Dongmei Li,Zhihua Wang,Liying Huangfu,Qiujing Gou, Study of total ionizing dose radiation effects on enclosed gate transistors in a commercial CMOS technology, Chinese Physics, Vol.16, No.12, pp. 3760 - 3765, 2007.
[752] Jinke Yao,Baoyong Chi,Zhihua Wang, A Novel Low Power ASK Receiver with AGC Loop, Chinese Journal of Semiconductors, Vol.28, No.3, pp. 337 - 341, 2007.
[753] Yanqing Ning,Baoyong Chi,Zhihua Wang,Hongyi Chen, A CMOS LC VCO with 3. 2-6. 1GHz Tuning Range, Chinese Journal of Semiconductors, Vol.28, No.4 pp. 526 - 529, 2007.
[754] Dongmei Li,Liying Huangfu,Qiujing Gou,Zhihua Wang, Total Ionizing Dose Radiation Effects on MOS Transistors with Different Layouts, Chinese Journal of Semiconductors, Vol.28, No.2, pp. 171 - 175, 2007.
[755] Rongrong Bai,Yongmin Li,Chun Zhang,Zhihua Wang, A low power, large dynamic range 915MHz passive RFID tag, Chinese Journal of Semiconductors, Vol.28, No.8, pp. 1316 - 1319, 2007.
[756] Li Zhang,Zhihua Wang,Hongyi Chen, A 5GHz CMOS VCO for IEEE 802.11a WLAN application, Chinese Journal of Electronics, Vol.16, No.1, pp. 66 - 68, 2007.
[757] Leibo Liu,Milin Zhang,Hongying Meng,Li Zhang,Zhihua Wang, A VLSI implementation of lifting-based forward and inverse wavelet transform, Chinese Journal of Electronics, Vol.16, No.3, pp. 423 - 428, 2007.
[758] Dongmei Li,Zhihua Wang,Liying Huangfu,Qiujing Gou,Youhua Lei,Guolin Li, Total Dose Effects with High Dose Rate in NMOS Transistors, Chinese Journal of Electron Devices, Vol.30, No.3, pp. 748 - 751, 2007.
[759] Zhihua Wang, China Integrated Circuit, Vol.16, No.2, pp. 17 - 20, 2007.
[760] Xuguang Sun,Chun Zhang,Yongming Li,Zhihua Wang,Hongyi Chen, Design of Several Key Circuits of UHF Passive RFID Tag, China Integrated Circuit, Vol.16, No.1, pp. 29 - 35, 2007.
[761] Dongmei Li,Liying Huangfu,Zhihua Wang,Qiujing Gou, γ-Radiation Total Dose Effects of Different Sized MOS Devices, Atomic Energy Science and Technology, Vol.41, No.5, pp. 522 - 526, 2007.
[762] Baoyong Chi,Jinke Yao,Shuguang Han,Xiang Xie,Guolin Li,Zhihua Wang, Low power high data rate wireless endoscopy transceiver, Microelectronics Journal, Vol.38, No.10-11, pp. 1070 - 1081, 2007.
[763] Xiaowen Li,Xiang Xie,Guolin Li,Li Zhang,Zhihua Wang, Low-complexity near-lossless image compression method and its application-specific integrated circuit design for a wireless endoscopy capsule system, Journal of Electronic Imaging, Vol.16, No.1, pp. 013015-1-9, 2007.
[764] Shuguang Han,Baoyong Chi,Zhihua Wang, A Mixed-Loop CMOS Analog GFSK Modulator With Tunable Modulation Index, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.54, No.6, pp. 547 - 551, 2007.
[765] Baoyong Chi,Jinke Yao,Shuguang Han,Xiang Xie,Guolin Li,Zhihua Wang, Low-Power Transceiver Analog Front-End Circuits for Bidirectional High Data Rate Wireless Telemetry in Medical Endoscopy Applications, IEEE Transactions on Biomedical Engineering, Vol.54, No.7, pp. 1291 - 1299, 2007.
[766] Xiang Xie,Guolin Li,Zhihua Wang, A Near-lossless Image Compression Algorithm Suitable for Hardware Design in Wireless Endoscopy System, EURASIP Journal on Advances in Signal Processing, Vol.2007, No.1, pp. 48 - 48, 2007.
[767] Shuilong Huang,Zhihua Wang, An improved charge-averaging charge-pump scheme, Analog Integrated Circuits and Signal Processing, Vol.51, No.1, pp. 45 - 49, 2007.
[768] Shuilong Huang,Zhihua Wang, Phase self-calibrated scheme for zero-IF receiver, Analog Integrated Circuits and Signal Processing, Vol.51, No.1, pp. 33 - 37, 2007.
[769] Baoyong Chi,Jinke Yao,Shuguang Han,Xiang Xie,Guolin Li,Zhihua Wang, A 2.4 GHz low power wireless transceiver analog front-end for endoscopy capsule system, Analog Integrated Circuits and Signal Processing, Vol.51, No.2, pp. 59 - 71, 2007.
[770] Baoyong Chi,Chun Zhang,Zhihua Wang, A Low Noise Amplifier with Automatically Q-Tuned Notch Filter, Analog Integrated Circuits and Signal Processing, Vol.50, No.2, pp. 159 - 162, 2007.
[771] Xiujie Jiang,Huixian Sun,Xiaomin Chen,Zhihua Wang,Li Zhang,Daxing Wang, Utilization of a COTS component in temperature measurement system for microgravity fluid experiment on SZ-4 spaceship, Aircraft Engineering and Aerospace Technology, Vol.79, No.1, pp. 17 - 21, 2007.
[772] Run Chen,Liyuan Liu,Dongmei Li,Zhihua Wang, Full custom design of a three-stage amplifier with 5500MHz•pF/mW Performance in 0.18 μm CMOS, VLSI-SoC 2007, pp. 242 - 247, 2007.
[773] Haolu Xie,Xin Wang,A. Wang,Zhihua Wang,Chun Zhang,Bin Zhao, A Fully-Integrated Low-Power 3.1-10.6GHz UWB LNA in 0.18μm CMOS, RWS 2007, pp. 197 - 200, 2007.
[774] Zhihua Wang,Xuguang Sun,Chun Zhang, Issues in Integrated Circuit Design for UHF RFID, RFIT 2007, pp. 322 - 328, 2007.
[775] Baoyong Chi,Zhihua Wang,S. Simon Wong, A Superheterodyne Receiver Front-End With On-Chip Automatically Q-Tuned Notch Filters, RFIC 2007, pp. 21 - 24, 2007.
[776] Xinpeng Xing,Zhihua Wang,Dongmei Li, A low voltage high precision CMOS bandgap reference , Norchip 2007, pp. 1 - 4, 2007.
[777] Changming Ma,Chun Zhang,Zhihua Wang, A Low-Power AC/DC Rectifier for Passive UHF RFID Transponders, MAPE 2007, pp. 309 - 314, 2007.
[778] Hsiao Wei Su,Kyunggi Do,Zhihua Wang, The Impact of Different Gain Control Methods on Performance of CMOS Variable-Gain LNA, ISCAS 2007, pp. 2208 - 2211, 2007.
[779] Fule Li,Zhihua Wang,Dongmei Li, An incomplete settling technique for pipelined analog-to-digital converters, ISCAS 2007, pp. 3950 - 3953, 2007.
[780] Baoyong Chi,Xueyi Yu,Woogeun Rhee,Zhihua Wang, A fractional-N PLL for digital clock generation with an FIR-embedded frequency divider, ISCAS 2007, pp. 3051 - 3054, 2007.
[781] Xinkai Chen,Guolin Li,Xiang Xie,Xiaowen Li,Zhihua Wang,Hong Chen, A low power digital baseband for wireless endoscope capsule, ISCAS 2007, pp. 2355 - 2358, 2007.
[782] Shuilong Huang,Zhihua Wang, A dual-slope PFD/CP frequency synthesizer architecture with an adaptive self-tuning algorithm, ISCAS 2007, pp. 3924 - 3927, 2007.
[783] Li Zhang,Baoyong Chi,Zhihua Wang,Hongyi Chen,Jinke Yao,Ende Wu, A 2-GHz 6.1-mA fully-differential CMOS phase-locked loop, ISCAS 2007, pp. 2447 - 2450, 2007.
[784] Yike Cui,Baoyong Chi,Minjie Liu,Yulei Zhang,Yongmin Li,Zhihua Wang,Chiang Patrick, Process variation compensation of a 2.4GHz LNA in 0.18um CMOS using digitally switchable capacitance, ISCAS 2007, pp. 2562 - 2565, 2007.
[785] Jingbo Duan,Fule Li,Liyuan Liu,Dongmei Li,Yongmin Li,Zhihua Wang, A pipelined A/D conversion technique with low INL and DNL, ISCAS 2007, pp. 3391 - 3394, 2007.
[786] Tongqiang Gao,Dongmei Li,Baoyong Chi,Zhihua Wang, A CMOS class-E power amplifiers with power control, ISCAS 2007, pp. 3900 - 3903, 2007.
[787] Xiaowen Li,Xiang Xie,Xinkai Chen,Guolin Li,Li Zhang,Zhihua Wang,Hong Chen, Design and implementation of a low complexity near-lossless image compression method for wireless endoscopy capsule system, ISCAS 2007, pp. 1321 - 1324, 2007.
[788] Hong Chen,Chen Jia,Chun Zhang,Zhihua Wang,Chunsheng Liu, Power harvesting with PZT ceramics, ISCAS 2007, pp. 557 - 560, 2007.
[789] XiaoWen Li,Xinkai Chen,Xiang Xie,Guolin Li,Li Zhang,Zhihua Wang, Pre-Processing and Vector Quantization Based Approach for CFA Data Compression in Wireless Endoscopy Capsule, ISBI 2007, pp. 1172 - 1175, 2007.
[790] Xiaowen Li,Xinkai Chen,Xiang Xie,Guolin Li,Li Zhang,Chun Zhang,Zhihua Wang, A Low Power, Fully Pipelined JPEG-LS Encoder for Lossless Image Compression, ICME 2007, pp. 1906 - 1909, 2007.
[791] Zhihua Wang,Songping Mai,Chun Zhang,Hong Chen, Design Practice of Power-oriented Integrated Circuits for Biomedical Implant Systems, ICECS 2007, pp. 78 - 81, 2007.
[792] Hong Chen,Ming Liu,Chen Jia,Chun Zhang,Zhihua Wang, Low Power IC Design of the Wireless Monitoring System of the Orthopedic Implants, EMBS 2007, pp. 5766 - 5769, 2007.
[793] Jirong Ma,Yongming Li,Chun Zhang,Zhihua Wang, A 1V Ultra-Low Power High Precision CMOS Voltage Reference, EDSSC 2007, pp. 847 - 850, 2007.
[794] Hsiao Wei Su,Yike Cui,Baoyong Chi,Zhihua Wang, A RF front-end for digital audio broadcasting, ECCTD 2007, pp. 176 - 179, 2007.
[795] Shuilong Huang,Huainan Ma,Zhihua Wang, Modeling and Simulation to the Design of ΣΔ Fractional-N Frequency Synthesizer, DATE 2007, pp. 1 - 6, 2007.
[796] Jinke Yao,Baoyong Chi,Zhihua Wang, A 7mA-1.8V, 2MHz GFSK analog demodulator with 1Mbps data rate, A-SSCC 2007, pp. 83 - 86, 2007.
[797] Xinkai Chen,Hanjun Jiang,Xiao Wen Li,Zhihua Wang, A Novel Compression Method for Wireless Image Sensor Node, A-SSCC 2007, pp. 184 - 187, 2007.
[798] Yong Li,Liji Wu,Chun Zhang,Zhihua Wang, Power recovery circuit for battery-less TPMS, ASICON 2007, pp. 454 - 457, 2007.
[799] Zhongqi Liu,Chun Zhang,Yongming Li,Zhihua Wang,E. Songtan, A novel passive UHF RFID transponder with space division Anti-collision Algorithm, ASICON 2007, pp. 878 - 881, 2007.
[800] Songping Mai,Chun Zhang,Yixin Zhao,Jun Chao,Zhihua Wang, An application-specific memory partitioning method for low power, ASICON 2007, pp. 221 - 224, 2007.
[801] Lu Liu,Zhihua Wang,Guolin Li, The Application of Volterra Series in Linearity Analysis of High Frequency CMOS Transconductance, Research & Progress of Solid State Electronics, Vol.26, No.4, pp. 490 - 493, 2006.
[802] Zhenhua Wang,Li Zhang,Chun Zhang,Yongming Li,Zhihua Wang, Clock Regenerator and Backscatter Modulator for UHF RFID Application, Microelectronics & Computer, Vol.23, No.12, pp. 65 - 71, 2006.
[803] Dingkun Du,Yongming Li,Zhihua Wang,Seeteck Tan, Design of a 4 MHz Complex Filter with Automatic Tuning System, Microelectronics, Vol.36, No.6, pp. 820 - 824, 2006.
[804] Tongqiang Gao,Baoyong Chi,Jingchao Wang,Changming Ma,Chun Zhang,Zhihua Wang, Application of CMOS Power Amplifiers in RFID Technology: An Overview, Microelectronics, Vol.36, No.4, pp. 450 - 455, 2006.
[805] Wei Yuan,Chun Zhang,Zhihua Wang, A RF Interface for Ultra High Frequency Passive RFID Tag, Microelectronics, Vol.36, No.6, pp. 817 - 824, 2006.
[806] Xin Zhang,Fule Li,Zhihua Wang, A Nonlinear Background Calibration Technique for Pipelined A/D, Microelectronics, pp. 2176 - 2180, 2006.
[807] Hongmei Wang,Fule Li,Dongmei Li,Zhihua Wang, A Speed Analysis Methodology for Pipelined A/D Converters, Journal of University of Electronic Science and Technology of China, Vol.35, No.6, pp. 913 - 916, 2006.
[808] Li Zhang,Ende Wu,Zhihua Wang,Hongyi Chen, CMOS voltage-controlled oscillator with automatic amplitude control, Journal of Tsinghua University (Science and Technology), Vol.46, No.7, pp. 1337 - 1340, 2006.
[809] Ziqiang Wang,Baoyong Chi,Zhihua Wang, Low power, high linearity CMOS programmable gain amplifier, Journal of Tsinghua University (Science and Technology), Vol.46, No.4, pp. 519 - 522, 2006.
[810] Fule Li,Hongmei Wang,Dongmei Li,Zhihua Wang, Low power 13bit 10^7 sample/s A/D converter, Journal of Tsinghua University (Science and Technology), Vol.46, No.1, pp. 115 - 118, 2006.
[811] Shuilong Huang,Zhihua Wang, Design of an Improved High Performance Differential Charge Pump, Journal of Electron Devices, Vol.29, No.4, pp. 1053 - 1057, 2006.
[812] Shuguang Han,Baoyong Chi,Zhihua Wang, Phase noise analysis in CMOS LC quadrature VCO, Chinese Journal of Electronics, Vol.15, No.2, pp. 209 - 212, 2006.
[813] Hongmei Wang,Fule Li,Guolin Li,Zhihua Wang, Resolution analysis of the first stage in the high precision pipelined ADCs, Chinese Journal of Electronics, Vol.15, No.1, pp. 47 - 50, 2006.
[814] Xiang Xie,Guolin Li,Chun Zhang,Zhihua Wang, A method for dynamically adapting packet length of ARQ in bi-directional wireless endoscopy, Chinese High Technology Letters, Vol.16, No.3, pp. 221 - 226, 2006.
[815] Xiujie Jiang,Zhihua Wang,H. X. Sun,X. M. Chen,T. L. Zhao,C. Y. Zhou,G. H. Zhou,L. Zhang, Suitability analysis of commercial off-the-shelf components for space application, Proceedings of the Institution of Mechanical Engineers Part G-Journal of Aerospace Engineering, Vol.220, No.G5, pp. 357 - 364, 2006.
[816] Baoyong Chi,Xiaolei Zhu,Ziqiang Wang,Zhihua Wang, New Implementation of Injection Locked Technique and Its Application to Low Phase Noise Quadrature Oscillators, Microelectronics Journal, Vol.37, No.11, pp. 1412 - 1418, 2006.
[817] Xiang Xie,Guolin Li,Zhihua Wang, Low-complexity and high-efficiency image compression algorithm for wireless endoscopy system, Journal of Electronic Imaging, Vol.15, No.2, pp. 023017(1) - 023017(15), 2006.
[818] Lu Liu,Zhihua Wang, Analysis and design of a low-voltage RF CMOS mixer, IEEE Transactions on Circuits and Systems II-Express Briefs, Vol.53, No.3, pp. 212 - 216, 2006.
[819] Michael P. Flynn,Philip K. T. Mok,Zhihua Wang,Wolfgang A. Pribyl,Johannes Solhusvik, Introduction to the special issue on the 2006 IEEE International Solid-State Circuits Conference, IEEE Journal of Solid-State Circuits, Vol.41, No.12, pp. 2627 - 2631, 2006.
[820] Xiang Xie,Guolin Li,Xinkai Chen,Xiaowen Li,Zhihua Wang, A Low-Power Digital IC Design Inside the Wireless Endoscopic Capsule, IEEE Journal of Solid-State Circuits, Vol.41, No.11, pp. 2390 - 2400, 2006.
[821] Xiang Xie,Guolin Li,Zhihua Wang, ARQ Scheme with Adaptive Block size for Bidirectional Wireless Endoscopy System, IEE Proceedings Communications, Vol.153, No.5, pp. 611 - 618, 2006.
[822] Xiang Xie,Guolin Li,Zhihua Wang, A Low Complexity and High Quality Image Compression Method for Digital Cameras, ETRI Journal, Vol.28, No.2, pp. 260 - 263, 2006.
[823] Baoyong Chi,BingXue Shi,Zhihua Wang, A low voltage CMOS RF front-end for IEEE 802.11b WLAN transceiver, Analog Integrated Circuits and Signal Processing, Vol.48, No.2, pp. 67 - 77, 2006.
[824] Ruifeng Liu,Yongming Li,Hongyi Chen,Zhihua Wang, EVM estimation by analyzing transmitter imperfections mathematically and graphically, Analog Integrated Circuits and Signal Processing, Vol.48, No.3, pp. 257 - 262, 2006.
[825] Xiujie Jiang,Huixian Sun,Xiaomin Chen,Zhihua Wang,Li Zhang,Daxing Wang, Utilization of a COTS component in temperature measurement system for microgravity fluid experiment on SZ-4 spaceship, Aircraft Engineering and Aerospace Technology, Vol.78, No.1, pp. 45 - 49, 2006.
[826] Xiujie Jiang,Huixian Sun,Xiaomin Chen,Zhihua Wang,Li Zhang,Daxing Wang,Yanqiu Liu, Electric control system for microgravity fluid experiment on SZ-4 spaceship, Acta Astronautica, Vol.58, No.1, pp. 38 - 43, 2006.
[827] Mian Dong,Chun Zhang,Songping Mai,Zhihua Wang,Dongmei Li, A wideband frequency-shift keying demodulator for wireless neural stimulation microsystems, VLSI Design 2006, pp. 1056 - 1060, 2006.
[828] Haolu Xie,Siqiang Fan,A. Wang,Albert Wang,Zhihua Wang,Hongyi Chen, A Pulse-Based Full-Band UWB Transceiver SoC in 0.18μm SiGe BiCMOS, SOCC 2006, pp. 73 - 76, 2006.
[829] Shuguang Han,Baoyong Chi,Zhihua Wang, A 8.0-mW 1-Mbps ASK transmitter for wireless capsule endoscope applications, RFIC 2006, 2006.
[830] Li Zhang,Baoyong Chi,Zhihua Wang,Hongyi Chen,Ende Wu, A Low Power 440-MHz Pulse-Swallow-Divider Combination Synchronization-Asynchronism-Hybrid Frequency Divider, MWSCAS 2006, pp. 566 - 568, 2006.
[831] Li Zhang,Baoyong Chi,JinKeYao,Zhihua Wang,Hongyi Chen, A 2-GHz Low Power Differentially Tuned CMOS Monolithic LC-VCO, ISCE 2006, pp. 1 - 4, 2006.
[832] Songping Mai,Kun Yang,Wenli Lan,Chun Zhang,Zhihua Wang, An open-source based DSP with enhanced multimedia-processing capacity for embedded applications, ISCAS 2006, pp. 939 - 942, 2006.
[833] Baoyong Chi,Bingxue Shi,Zhihua Wang, A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceivers, ISCAS 2006, pp. 3762 - 3765 , 2006.
[834] Jinke Yao,Baoyong Chi,Zhihua Wang, A 4MHz Gm-C filter with on-chip frequency automatic tuning, ISCAS 2006, pp. 3814 - 3817, 2006.
[835] Xiaokang Guan,Zhihua Wang,A. Ishikawa,T. Tamura,Zhihua Wang,Chun Zhang, A 3V 110 /spl mu/W 3.1 ppm//spl deg/C curvature-compensated CMOS bandgap reference, ISCAS 2006, pp. 2861 - 2864, 2006.
[836] Baoyong Chi,Jinke Yao,Shuguang Han,Xiang Xie,Guolin Li,Zhihua Wang, A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system, ISCAS 2006, pp. 4907 - 4910, 2006.
[837] Xiang Xie,Guolin Li,Zhihua Wang, A New VLSI Structure for an Improved Near-lossless Color Image Compression Algorithm Inside Wireless Endoscopy Capsule, ISCAS 2006, pp. 357 - 360, 2006.
[838] Kun Yang,Chun Zhang,Zhihua Wang, Application Specific Processor Design for H.264 Baseline Profile Bit-Stream Decoding, ICSP 2006, 2006.
[839] Yike Cui,Yongming Li,Zhihua Wang, A High-linearity, 80-dB CMOS VGA with DC-offset Cancellation for DAB Application, ICSICT 2006, pp. 1766 - 1768, 2006.
[840] Songping Mai,Chun Zhang,Mian Dong,Zhihua Wang, A Cochlear System with Implant DSP, ICASSP 2006, pp. V-125 - V-128, 2006.
[841] Kun Yang,Chun Zhang,Guoze Du,Jiangxiang Xie,Zhihua Wang, A Hardware-Software Co-design for H.264/AVG Decoder, A-SSCC 2006, pp. 119 - 122, 2006.
[842] Shuilong Huang,Zhihua Wang,Huainan Ma, A Fast 1.9 GHz Fractional-N/Integer Frequency Synthesizer with a Self-tuning Algorithm, APCCAS 2006, pp. 203 - 206, 2006.
[843] Dingkun Du,Yongming Li,Zhihua Wang,Seeteck Tan, An Active-RC Complex Filter with Mixed Signal Tuning System for Low-IF Receiver, APCCAS 2006, pp. 1031 - 1034, 2006.
[844] Kun Yang,Chun Zhang,Zhihua Wang, Design of Adaptive Deblocking Filter for H.264/AVC Decoder SOC, APCCAS 2006, pp. 109 - 112, 2006.
[845] Changming Ma,Chun Zhang,Zhihua Wang, Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders, APCCAS 2006, pp. 1350 - 1353, 2006.
[846] Lin Zhou,Dongmei Li,Zhihua Wang, Microelectronics, Vol.35, No.6, pp.1, 2005.
[847] Lu Liu,Zhihua Wang, Microelectronics, Vol.35, No.6, pp.1, 2005.
[848] Xueliang Hu,Chun Zhang,Zhihua Wang, Microelectronics, Vol.35, No.6, pp.1, 2005.
[849] Ziqiang Wang,Baoyong Chi,Zhihua Wang, Microelectronics, Vol.35, No.6, pp.1, 2005.
[850] Yuduo Zuo,Baoyong Chi,Zhihua Wang, A 2.4GHz Low Power PLL Frequency Synthesizer with I/Q LO Outputs, Microelectronics, pp. 6 - 9, 2005.
[851] Yuxiang Yuan,Guolin Li,Chun Zhang,Dongmei Li,Xiang Xie,Guoxia Yu,Junhua Liu,Ziqiao Chu,Zhihua Wang, Modeling of radio transmissions into and within buildings at 433MHz and 900MHz, Journal of Tsinghua University (Science and Technology), Vol.45, No.1, pp. 44 - 47, 2005.
[852] Xiang Xie,Guolin Li,Baoyong Chi,Zhihua Wang,Dongmei Li,Xinkai Chen,Xiaowen Li,Shuguang Han,Jinke Yao, Analog-digital mixed-mode IC design for miniaturized bi-directional wireless endoscopy capsule , Journal of Tsinghua University (Science and Technology), Vol.45, No.10, pp. 1368 - 1372, 2005.
[853] Leibo Liu,Ning Chen,Chun Zhang,Hongying Meng,Li Zhang,Zhihua Wang,Hongyi Chen, An ASIC implementation of JPEG2000 encoder, Chinese Journal of Electronics, Vol.14, No.4, pp. 603 - 608, 2005.
[854] Albert Zihui Wang,Haigang Feng,Rouying Zhan,Haolu Xie,Guang Chen,Qiong Wu,Xiaokang Guan,Zhihua Wang,Chun Zhang, A review on RF ESD protection design, IEEE Transactions on Electron Devices, Vol.52, No.7, pp. 1304 - 1311, 2005.
[855] Hsiaowei Su,Baoyong Chi,Zhihua Wang, System design considerations of highly-integrated DAB receiver RF front-end, IEEE Transactions on Consumer Electronics, Vol.51, No.4, pp. 1319 - 1325, 2005.
[856] Zihong Liu,Pengsheng Huang,Zhihua Wang,Lei Feng,Chun Zhang,Dongmei Li,Shangkai Gao,Xindong Song,Tianling Ren, Full custom design of the interface for an in vitro neural biosensor, MWSCAS 2005, pp. 1306 - 1309, 2005.
[857] Zihong Liu,Chao Bian,Zhihua Wang,Chun Zhang, Full custom design of a two-stage fully differential CMOS amplifier with high unity-gain bandwidth and large dynamic range at output, MWSCAS 2005, pp. 984 - 987, 2005.
[858] Xueyi Yu,Guolin Li,Zhihua Wang, Design of compact 2.45 GHz microstrip antenna, MAPE 2005, pp. 153 - 156, 2005.
[859] Zihong Liu,Zhihua Wang,Guolin Li,Zhiping Yu, A novel solid neuron-network chip based on both biological and artificial neural network theories, ISNN 2005, pp. 479 - 484, 2005.
[860] Xiang Xie,Guolin Li,Chun Zhang,Zhihua Wang, An Efficient Control Strategy of Adaptive Packet Length for ARQ in Wireless Endoscopy System, ISCIT 2005, pp. 1121 - 1123, 2005.
[861] Xiang Xie,Guolin Li,Zhihua Wang,Chun Zhang,Dongmei Li,Xiaowen Li, A Novel Method of Lossy Image Compression for Digital Image Sensors with Bayer Color Filter Arrays, Circuits and Systems, ISCAS 2005, pp. 4995 - 4998, 2005.
[862] Lu Liu,Zhihua Wang, A new high gain low voltage 1.45 GHz CMOS mixer, ISCAS 2005, pp. 5023 - 5026, 2005.
[863] Lu Liu,Zhihua Wang,Guolin Li, Calculation of intermodulation distortion in CMOS transconductance stage, ISCAS 2005, pp. 3700 - 3703, 2005.
[864] Xiaolei Zhu,Jizhong Shen,Baoyong Chi,Zhihua Wang, Circuit implementation of multi-thresholded neuron (MTN) using BiCMOS technology, IJCNN 2005, pp. 627 - 632, 2005.
[865] Zihong Liu,Zhihua Wang, A new hybrid neural system interfacing neurons and silicon hardware for fast signal recognition, IJCNN 2005, pp. 3238 - 3243, 2005.
[866] Leibo Liu,Zhihua Wang,Ning Chen,Li Zhang, VLSI architecture of EBCOT Tier-2 encoder for JPEG2000, IEEE Workshop on Signal Processing Systems Design and Implementation 2005, pp. 225 - 228, 2005.
[867] Mian Dong,Chun Zhang,Songping Mai,Zhihua Wang,Dongmei Li, A Wideband Wireless Micro-Stimulating AISC for Cochlear Implant, ICM 2005, pp. 274 - 278, 2005.
[868] Li Zhang,Jinke Yao,Ende Wu,Baoyong Chi,Zhihua Wang,Hongyi Chen, A CMOS Fully Differential Σ-Δ A Frequency Synthesizer for 2-Mb/s GMSK Modulation, ICM 2005, pp. 6 - 9, 2005.
[869] Xiang Xie,Guolin Li,Dongmei Li,Chun Zhang,Zhihua Wang, A New Near-lossless Image Compression Algorithm Suitable for Hardware Design in Wireless Endoscopy System, ICIP 2005, pp. 1125 - 1128, 2005.
[870] Xiang Xie,Guolin Li,XiaoWen Li,Xinkai Chen,Kun Yang,Chun Zhang,Zhihua Wang, A New Near-Lossless Image Compression Method in Digital Image Sensors with Bayer Color Filter Arrays, ICASSP 2005, pp. 369 - 372, 2005.
[871] Zihong Liu,Zhihua Wang,Guolin Li,Zhiping Yu,Chun Zhang, Design Proposal for a Chip Jointing VLSI and Rat Spinal Cord Neurons on a Single Silicon Wafer, EMBS 2005, pp. 158 - 161, 2005.
[872] Xiang Xie,Guolin Li,Baoyong Chi,Xueyi Yu,Chun Zhang,Zhihua Wang, Micro-system Design for Wireless Endoscopy System, EMBS 2005, pp. 7135 - 7138, 2005.
[873] Zihong Liu,Ku He,Lei Yang,Chao Bian,Zhihua Wang, Characterizing Transmission and Control of the SARS Epidemic: Novel Stochastic Spatio-Temporal Models, EMBS 2005, pp. 7463 - 7469, 2005.
[874] Leibo Liu,Hongying Meng,Li Zhang,Zhihua Wang, An ASIC implementation of JPEG2000 codec, CICC 2005, pp. 691 - 694, 2005.
[875] Xiang Xie,Guolin Li,Xinkai Chen,Lu Liu,Chun Zhang,Zhihua Wang, A Low Power Digital IC Design Inside the Wireless Endoscopy Capsule, A-SSCC 2005, pp. 217 - 220, 2005.
[876] Baoyong Chi,Xiaolei Zhu,Ziqiang Wang,Zhihua Wang, Quadrature Oscillator with Negative-Resistance Compensated Transformer Couple, A-SSCC 2005, pp. 441 - 444, 2005.
[877] Ziqiang Wang,Baoyong Chi,Min Lin,Shuguang Han,Lu Liu,JinKeYao,Zhihua Wang, A monolithic CMOS L band DAB receiver, ASP-DAC 2005, pp. 1232 - 1235, 2005.
[878] Yicong Meng,Leibo Liu,Li Zhang,Zhihua Wang, A low power VLSI implementation for JPEG2000 codec, ASICON 2005, ASICON 2005, pp. 198 - 202, 2005.
[879] Yicong Meng,Leibo Liu,Li Zhang,Zhihua Wang, Design Methodology of Low Power JPEG2000 Codec Exploiting Dual Voltage Scaling, ASICON 2005, pp. 183 - 186, 2005.
[880] Leibo Liu,Ning Chen,Li Zhang,Zhihua Wang, VLSI architecture of EBCOT tier-2 encoder for JPEG2000, ASICON 2005, pp. 173 - 176, 2005.
[881] Xiang Xie,Guolin Li,Xinkai Chen,Chun Zhang,Zhihua Wang, A low complexity near-lossless image compression method and its ASIC design for wireless endoscopy system, ASICON 2005, pp. 37 - 40, 2005.
[882] Yanqing Ning,Zhihua Wang,Hongyi Chen, Design of ultra wideband MOS differential VCO, ASICON 2005, pp. 441 - 445, 2005.
[883] Enzheng Li,Guolin Li,Chun Zhang,Zhihua Wang, Joint Frequency Offset Estimation and Timing Synchronization for DSSS MSK Receiver, APCC 2005, pp. 358 - 361, 2005.
[884] Ende Wu,Zhihua Wang,Li Zhang,Benjing Li,Hao Luo, Spur analysis of fractional-N frequency synthesizers, Journal of Tsinghua University (Science and Technology), Vol.44, No.7, pp. 958 - 961, 2004.
[885] Guang Chen,Haigang Feng,Haolu Xie,Rouying Zhan,Qiong Wu,Xiaokang Guan,Albert Wang,Senior Member,Kaoru Takasuka, Satoru Tamura, Zhihua Wang, Chun Zhang, Characterizing diodes for RF ESD protection, IEEE Electron Device Letters, Vol.25, No.5, pp. 323 - 325, 2004.
[886] Liu, Leibo,Ning Chen,Hongying Meng,Li Zhang,Zhihua Wang,Hongyi Chen, A VLSI architecture of JPEG2000 encoder, IEEE Journal of Solid-State Circuits, Vol.39, No.11, pp. 2032 - 2040, 2004.
[887] Zhihua Wang,Xiang Xie,Li Zhang,Chun Zhang, An improved algorithm for rate distortion optimization in JPEG2000 and its integrated circuit implementation, ICASSP 2004, pp. V - 61-4, 2004.
[888] Chun Zhang,Kun Yang,Songping Mai,Zhihua Wang, A DSP architecture for motion estimation accelerating, ISIMP 2004, pp. 583 - 586, 2004.
[889] Xiang Xie,Guolin Li,Xiaowen Li,Zhihua Wang,Chun Zhang,Dongmei Li,Li Zhang, A New Approach for Near-lossless and Lossless Image Compression with Bayer Color Filter Arrays, ICIG 2004, pp. 357 - 360, 2004.
[890] Chun Zhang,Zhihua Wang,Dongmei Li,Mian Dong, A Multi-mode and Multi-Channel Cochlear Implant, ICSP 2004, pp. 2237 - 2240, 2004.
[891] K. Mashiko,A. Kanuma,T. Kozawa,Kiwon Lee,A. Wu,Zhihua Wang, Academia-industry collaboration in SoC design education: wishes and reality, AP-ASIC 2004, pp. 18 - 21, 2004.
[892] Zhihua Wang,Xiang Xie,Chun Zhang,Baoyong Chi,Guolin Li,Li Zhang,Domgmei Li, IC design for a digital wireless endoscope capsule system, APRASC 2004, pp. K42 - K43, 2004.
[893] Mian Dong,Chun Zhang,Zhihua Wang,Dongmei Li, A Neuro-Stimulus Chip with Telemetry Unit for Cochlear Implant, BioCAS 2004, pp. S1/3/INV - S1/39-12, 2004.
[894] Kun Yang,Chun Zhang,Songping Mai,Zhihua Wang, DSP Architecture for Motion Estimation Acceleration, ICSICT 2004, pp. 1609 - 1612, 2004.
[895] Shuguang Han,Baoyong Chi,Zhihua Wang, A high phase accuracy, low amplitude mismatch quadrature LO driver, ICSICT 2004, pp. 1260 - 1263, 2004.
[896] Baoyong Chi,Xiaolei Zhu,Shuilong Huang,Zhihua Wang, 1GHz monolithic high spectrum purity fractional-N frequency synthesizer with a 3-b third-order delta-sigma modulator, ICSICT 2004, pp. 1504 - 1507, 2004.
[897] Li Zhang,Zhihua Wang,Hongyi Chen, A 5-GHz CMOS VCO for IEEE 802.11a WLAN application, ICSICT 2004, pp. 1311 - 1314, 2004.
[898] Xiang Xie,Guolin Li,Xinkai Chen,Xiaowen Li,Baoyong Chi,Shuguang Han,Jinke Yao,Chun Zhang,Zhihua Wang, A Novel Low Power IC Design for Bi-Directional Digital Wireless Endoscopy Capsule System, BioCAS 2004, pp. S1/8 - S5/8, 2004.
[899] Xiang Xie,Guolin Li,Xiaowen Li,Chun Zhang,Zhihua Wang,Xinkai Chen,Hsiao Weisi, A New High Quality Image Compression Method for Digital Image Sensors with Bayer Color Filter Arrays, BioCAS 2004, pp. S3/3-13-16, 2004.
[900] Guang Chen,Haigang Feng,Haolu Xie,Rouying Zhan,Qiong Wu,Xiaokang Guan,Albert Wang,Kaoru Takasuka,Satoru Tamura, Zhihua Wang, Chun Zhang, RF characterization of ESD protection structures, RFIC 2004, pp. 379 - 382, 2004.
[901] Leibo Liu,Dejian Li,Xujin Wang,Hongying Meng,Zhihua Wang,Hongyi Chen,Yuwen Xia, DWT and EBCOT VLSI architecture for JPEG2000, Journal of Tsinghua University (Science and Technology), Vol.43, No.4, pp. 573 - 576, 2003.
[902] Fule Li,Dongmei Li,Chun Zhang,Zhihua Wang, Capacitor Error Averaging Technique for Pipelined ADCs, Journal of Tsinghua University (Science and Technology), Vol.43, No.1, pp. 63 - 66, 2003.
[903] Yue Wang,Chun Zhang,Zhihua Wang, A New Variable Step Size LMS Algorithm with Application to Active Noise Control, ICASSP 2003, pp. V - 573-5, 2003.
[904] Leibo Liu,Ning Chen,Hongying Meng,Li Zhang,Zhihua Wang,Hongyi Chen, A VLSI chip of SCLA based 2-D DWT/IDWT, ASICON 2003, pp. 898 - 901, 2003.
[905] Ziqiang Wang,Chun Zhang,Zhihua Wang, Wireless receiver architectures for SOC, ASICON 2003, pp. 877 - 881, 2003.
[906] Leibo Liu,Dejian Li,Li Zhang,Zhihua Wang,Hongyi Chen, A VLSI architecture of EBCOT encoder for JPEG2000, ASICON 2003, pp. 882 - 885, 2003.
[907] Junhua Liu,Kun Yang,Chun Zhang,Zhihua Wang, A Transponder IC for Wireless Auto Identification System, ASICON 2003, pp. 1114 - 1116, 2003.
[908] Fule Li,Dongmei Li,Zhihua Wang, Novel method for improving the speed of pipelined A/D converters, Journal of Tsinghua University (Science and Technology), Vol.42, No.1, pp. 7 - 10, 2002.
[909] Fule Li,Dongmei Li,Chun Zhang,Zhihua Wang, An Improved Capacitor Averaging Technique for Pipelined ADCs, Acta Electronica Sinica, Vol.30, No.9, pp. 1285 - 1287, 2002.
[910] Fule Li,Dongmei Li,Chun Zhang,Zhihua Wang, A Capacitor Mismatch Calibration Technique for Pipelined A/D Conversion, Acta Electronica Sinica, Vol.30, No.11, pp. 1 - 3, 2002.
[911] Chunsheng Liu,Zhihua Wang,Guoqing Chen,Yanmei Li,Ende Wu,Dejian Li,Bo Li,Weibei Dou,Zaiwang Dong, A DAB transmitter prototype with high flexibility and low cost, IEEE Transactions on Broadcasting, Vol.48, No.3, pp. 173 - 178, 2002.
[912] Leibo Liu,Xuejin Wang,Hongying Meng,Li Zhang,Zhihua Wang,Hongyi Chen, A VLSI architecture of spatial combinative lifting algorithm based 2-D DWT/IDWT, APCCAS 2002, pp. 299 - 304, 2002.
[913] Zhihua Wang,Ende Wu, Acta Electronica Sinica, Vol.29, No.2, 2001.
[914] Guoqing Chen,Wenhuan Gao,Zhihua Wang,Ende Wu, Effects of HPA nonlinearities on DAB signals, Journal of Tsinghua University (Science and Technology), Vol.41, No.7, pp. 47 - 50, 2001.
[915] Haixing Hu,Yongming Li,Zhihua Wang, An improved push-pull Class-E RF tuned power amplifier with low maximum transistor current, ASICON 2001, pp. 322 - 325, 2001.
[916] Dongmei Li,Wenhuan Gao,Hongyuan Zhang,Zhihua Wang, Analysis and simulation of oversampling sigma delta modulator, Journal of Tsinghua University (Science and Technology), Vol.40, No.7, pp. 89 - 92, 2000.
[917] Hongying Meng,Zhihua Wang, Fast spatial combinative lifting algorithm of wavelet transform using the 9/7 filter for image block compression, Electronics Letters, Vol.36, No.21, pp. 1766 - 1767, 2000.
[918] Yanmei Li,Dongmei Li,Zhihua Wang, A new approach to detect-mitigate-correct radiation-induced faults for SRAM-based FPGAs in aerospace application, NAECON 2000, pp. 588 - 594, 2000.
[919] Hongying Meng,Zhihua Wang,Li Zhang, Performance analysis of the CDF wavelet filters in image compression, APCCAS 2000, pp. 658 - 661, 2000.
[920] Hongying Meng,Zhihua Wang,Guizhong Liu, Performance of the Daubechies wavelet filters compared with other orthogonal transforms in random signal processing, WCCC-ICSP 2000, pp. 333 - 336, 2000.
[921] Chun Zhang,Zhihua Wang, A Fast Frequency Domain Filter Bank Realization Algorithm, WCCC-ICSP 2000, pp. 130 - 132, 2000.
[922] Zhihua Wang,Geogies Gielen,Willy Sansen, Probabilistic fault detection and the selection of measurements for analog integrated circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.17, No.9, pp. 862 - 872, 1998.
[923] Geogies Gielen,Zhihua Wang,Willy Sansen, Optimal fault detection for analogue circuits under manufacturing tolerances, Electronics Letters, Vol.32, No.1, pp. 33 - 34, 1996.
[924] Zhihua Wang,S.W. Director, An efficient yield optimization method using a two step linear approximation of circuit performance, European Design and Test Conference 1994, pp. 567 - 571, 1994.
[925] Zhihua Wang,S.W. Director, A novel approximation procedure for efficient yield simulation and optimization of integrated circuits, 27th Annual Simulation Symposium 1994, pp. 166 - 173, 1994.
[926] Zhihua Wang,G. Geilen,Willy Sansen, Testing of analog integrated circuits based on power-supply current monitoring and discrimination analysis, Proceedings of the Third Asian Test Symposium 1994, pp. 126 - 131, 1994.
[927] Zhihua Wang,G. Geilen,Willy Sansen, A novel method for the fault detection of analog integrated circuits, ISCAS 1994, pp. 347 - 350, 1994.
[928] G. Geilen,Zhihua Wang,Willy Sansen, Fault Detection And Input Stimulus Determination For The Testing Of Analog Integrated Circuits Based On Power-supply Current Monitoring, ICCAD 1994, pp. 495 - 498, 1994.
[929] Zhihua Wang,Huazhong Yang,Rensheng Liu,Chongzhi Fan, A new yield optimization algorithm and its applications, ISCAS 1991, pp. 1996 - 1999, 1991.

所持專利

[1] 阿布巴卡,姜漢鈞,尹說,王志華,張春. 心電信號的分類方法和裝置: 中國, 202110679932.3[P].
[2] 王登傑,王自強,陳虹,張春,王志華. 用於判決反饋均衡器的動態電流模比較器: 中國, 202110549367.9[P].
[3] 幸新鵬,尚雪倩,馮海剛,王志華,李冬梅. 一種模數轉換器及其數字校準方法: 中國, 202110531760.5[P].
[4] 楊沛霖,李福樂,王志華. 基於雙輸入跨導運放的電容分裂結構開關電容放大電路: 中國, 202110505925.1[P].
[5] 幸新鵬,張鈺坤,馮海剛,王志華,李冬梅. 一種改善電流舵型DAC線性度的電流源及一種DAC: 中國, 202110350313.X[P].
[6] 李宇根,萬子祥,王志華. 一種通用頻率調製器和頻率調製方法、裝置: 中國, 202110262312.X[P].
[7] 李宇根,汪博聞,王志華. 一種準相干脈衝超寬頻接收機及信號解調方法: 中國, 202110229180.0[P].
[8] 幸新鵬,劉森基,尚雪倩,馮海剛,王志華,李冬梅. 一種可重構的運算放大器: 中國, 202110129617.3[P].
[9] 張春,趙西金,胡濱,賈雯,王志華. 周期性圖形陣列提取方法、計算機裝置及存儲介質: 中國, 202011414454.5[P].
[10] 唐仙,張明明,王志華. 一種無線能量傳輸系統及方法: 中國, 202011139630.9[P].
[11] 羅登,張沕琳,王志華. 一種生物電位記錄器: 中國, 202011058817.6[P].
[12] 張沕琳,廖一橋,謝翔,王志華. 一種用於睡眠分期的模型訓練方法及裝置: 中國, 202010963626.8[P].
[13] 浦寧,姜漢鈞,張春,王志華. 一種用於聲紋識別的低功耗模擬域特徵向量提取方法: 中國, 202010577295.4[P].
[14] 尹說,姜漢鈞,王志華,賈雯. 心電信號處理方法及裝置: 中國, 202010415291.6[P].
[15] 張沕琳,廖一橋,謝翔,王志華. 一種基於對抗學習的睡眠階段識別方法及系統: 中國, 202010408610.0[P]. 2021-07-20.
[16] 姜漢鈞,尹說,王志華,張春. 一種全定製低漏電數字電路標準單元設計方法: 中國, 202010301436.X[P].
[17] 謝翔,鄒少鋒,李國林,麥宋平,王志華. 基於深度學習的視頻盲去噪方法及裝置: 中國, 202010294520.3[P].
[18] 麥宋平,郭朵,楊木群,關添,張春,王志華. 一種深部腦刺激電極裝置: 中國, 202010287006.7[P].
[19] 謝翔,薛嘉雯,李國林,麥宋平,王志華. 隱含結構光的解碼方法及裝置: 中國, 202010268291.8[P].
[20] 謝翔,鄒少鋒,李國林,王志華. 水下目標檢測識別裝置及方法: 中國, 202010238219.0[P].
[21] 郭衍束,姜漢鈞,王志華. 發射機及具有所述發射機的無線收發機: 中國, 202010231984.X[P]. 2021-11-09.
[22] 羅登,張沕琳,王志華. 一種動態比較器、模數轉換器和控制方法: 中國, 202010005461.3[P].
[23] 羅登,張沕琳,王志華. 一種逐次逼近暫存器型模數轉換器及其信號轉換方法: 中國, 202010005982.9[P].
[24] 謝翔,鄒少鋒,李國林,王志華. 一種圖像盲去噪系統: 中國, 201911307908.6[P].
[25] 謝翔,王旭暘,李國林,王志華. 一種圖像去噪中恢復細節的系統: 中國, 201911307899.0[P].
[26] 幸新鵬,陳靜福,馮海剛,李冬梅,王志華. 一種逐次逼近型模數轉換器及校準方法: 中國, 201911242441.1[P].
[27] 王自強,王登傑,賈晨,陳虹,張春,王志華. 一種用於高速串口收發機的自適應均衡方法及系統: 中國, 201911215963.2[P].
[28] 賈晨,王自強,張春,權磊,方成,尹勇生,王志華. 一種與工藝角弱相關的延時電路單元: 中國, 201911214618.7[P].
[29] 賈晨,王自強,張春,權磊,尹勇生,王志華. 一種延時電路單元: 中國, 201911214623.8[P].
[30] 賈晨,王自強,張春,權磊,方成,尹勇生,王志華. 一種高速計數和比較電路及其方法: 中國, 201911215985.9[P].
[31] 幸新鵬,王澤,馮海剛,李冬梅,王志華. 一種帶有可重構數據加權平均的多模過採樣模數轉換器: 中國, 201911143612.5[P].
[32] 唐仙,趙一霖,王志華. 用於無線能量傳輸系統中的補償裝置及補償控制方法: 中國, 201910978922.2[P]. 2021-02-12.
[33] 馬奔,賈晨,王自強,張春,權磊,尹勇生,王志華. 不同顏色序列Delta型子像素顯示面板的渲染方法: 中國, 201910899818.4[P]. 2020-10-23.
[34] 姜漢鈞,陳夢瑩,王志華,張春. 基於混合模式快速電荷平衡的神經刺激器電路: 中國, 201910609405.8[P]. 2020-07-28.
[35] 王自強,王登傑,陳虹,張春,王志華. 一種混合電壓模和電流模PAM-4高速驅動電路: 中國, 201910428411.3[P]. 2020-12-08.
[36] 王自強,李貌,張春,王志華. 一種用於串列接口的並行數據位寬變換電路: 中國, 201910243521.2[P]. 2020-10-27.
[37] 唐仙,彭侃,麥宋平,王志華. 用於無線能量與數據同步傳輸的下行數據傳輸裝置和方法: 中國, 201910233924.9[P]. 2021-06-08.
[38] 陳虹,蘇哲,王志華. 單髁膝關節置換術中股骨遠端相對於假體墊片力線軌跡的測量方法與系統: 中國, 201910148531.8[P]. 2020-12-29.
[39] 李宇根,宋海昕,王志華. 基於脈衝飛行時間測距的中繼攻擊防禦方法及系統: 中國, 201910093208.5[P]. 2021-05-28.
[40] 馬奔,賈晨,王自強,張春,權磊,尹勇生,王志華. 一種可降低顯示面板功耗的子像素渲染系統及其方法: 中國, 201910065120.2[P].
[41] 謝翔,李秋實,白宇冰,李國林,王志華. 一種聲吶圖像分割方法和設備: 中國, 201811435393.3[P].
[42] 張春,張德兵,王光奇,王志華,姜漢鈞. 重心調整裝置及方法: 中國, 201811416476.8[P].
[43] 幸新鵬,馮海剛,李冬梅,王志華. 一種二階噪聲整形的逐次逼近型模數轉換器及模數轉換方法: 中國, 201811266936.3[P].
[44] 姜漢鈞,高紹全,王志華,張春. 一種低壓差線性電壓調節器: 中國, 201811261557.5[P]. 2019-08-02.
[45] 李宇根,張益寧,周冉冉,王志華. 基於鎖相環直接解調頻率調製信號的電路系統及控制方法: 中國, 201811150180.6[P].
[46] 李宇根,張益寧,周冉冉,王志華. (實用新型)基於鎖相環直接解調頻率調製信號的電路系統: 中國, 201821608143.0[P]. 2019-02-26.
[47] 聶家誼,賈晨,王自強,張春,權磊,尹勇生,王志華. 一種輸出電壓穩定的雙邊電荷泵: 中國, 201811085204.4[P]. 2019-10-08.
[48] 賈晨,王自強,張春,權磊,尹勇生,王志華. 顯示驅動電路、顯示驅動方法及顯示裝置: 中國, 201810752390.6[P]. 2020-04-10.
[49] 幸新鵬,馮海剛,李冬梅,王志華. 過採樣模數轉換器及其反饋數模轉換器動態誤差校準方法: 中國, 201810719507.0[P]. 2021-10-29.
[50] 袁帥,欒文煥,王自強,張春,王志華. 一種高速串列通信中適應可變頻寬的時鐘數據恢復鎖定檢測電路: 中國, 201810592414.6[P]. 2021-05-04.
[51] 王自強,林鑫,張春,王志華. 一種用於鎖相環中的多頻帶兩級環形壓控振盪器: 中國, 201810388303.3[P]. 2020-06-05.
[52] 李宇根,張益寧,周冉冉,王志華. 檢測窄帶干擾或信道變化的頻率調製信號解調系統: 中國, 201810368445.3[P].
[53] 姜漢鈞,賈雯,王志華,張春. 壓力檢測系統及測壓方法: 中國, 201810345426.9[P].
[54] 朱桂傑,唐仙,麥宋平,王志華. (實用新型)一種用於無線能量傳輸的可重配置整流器: 中國, 201820334512.5[P]. 2018-09-18.
[55] 朱桂傑,唐仙,麥宋平,王志華. 一種用於無線能量傳輸的可重配置整流器: 中國, 201810200908.5[P].
[56] 朱桂傑,唐仙,麥宋平,王志華. 一種無線能量傳輸控制方法: 中國, 201711351897.2[P]. 2019-07-26.
[57] 李森,謝翔,李國林,麥宋平,王志華. 投影觸摸板中用戶手指參數的獲取方法和系統: 中國, 201711135967.0[P].
[58] 幸新鵬,李冬梅,王志華. 一種過採樣模數轉換器: 中國, 201711117832.1[P]. 2021-08-24.
[59] 幸新鵬,李冬梅,王志華. 一種過採樣模數轉換器: 中國, 201711117300.8[P]. 2020-10-30.
[60] 袁帥,王自強,欒文煥,張春,王志華. 一種兼容直流/交流耦合的高速串列接口接收機前端電路: 中國, 201711091775.4[P]. 2020-06-05.
[61] 李貌,周宇星,王登傑,袁帥,王志華,王自強,張春. 一種高PSRR低壓差線性穩壓器: 中國, 201710966656.2[P]. 2019-02-22.
[62] 王志華,孫卓異,姜漢鈞. 一種助聽裝置的語音增強方法和裝置: 中國, 201710817728.7[P].
[63] 李福樂,王成偉,賈雯,王志華. 提高流水線模數轉換器輸出精度的方法及模數轉換器: 中國, 201710743591.5[P]. 2020-12-08.
[64] 張春,張德兵,朱曦陽,王志華,姜漢鈞,謝拓. 用於機房監控的圖像識別裝置及方法: 中國, 201710543170.8[P]. 2021-02-26.
[65] 王志華,姜漢鈞,楊聞笛,張春,李福樂. 動態調整電極配置的植入式動態心電監測儀: 中國, 201710518009.5[P]. 2020-02-21.
[66] 李福樂,劉佳,賈雯,王志華. 參考電壓驅動電路: 中國, 201710326104.5[P]. 2020-03-24.
[67] 李福樂,王曉,賈雯,王志華. 流水線模數轉換器及其運放自適應配置電路及方法: 中國, 201710326116.8[P].
[68] 董晶晶,姜漢鈞,高紹全,翁兆洋,李福樂,王志華. 一種相位域模數轉換器: 中國, 201710154193.X[P]. 2020-05-19.
[69] 姜漢鈞,王志華,吳澤亮,張春,李福樂. 一種基於氣囊的植入式無線顱內壓監測系統: 中國, 201710128667.3[P]. 2019-07-12.
[70] 王自強,周乃文,呂方旭,張春,王志華,李福樂. 一種帶有時序校準的發射機: 中國, 201611104171.4[P]. 2020-10-27.
[71] 賀婭君,王自強,李宇根,劉晗,張春,王志華,李福樂. 一種支持多協定的鎖相環: 中國, 201611104156.X[P]. 2018-10-19.
[72] 呂方旭,王自強,張春,王志華,李福樂. 一種高速合路器: 中國, 201611104765.5[P]. 2019-07-12.
[73] 王自強,張春,王志華. 一種高速電流模邏輯驅動器: 中國, 201611104760.2[P]. 2019-09-27.
[74] 王自強,周宇星,張春,王志華,李福樂. 一種採用模擬電路補償電容的低壓差線性穩壓器: 中國, 201611104762.1[P]. 2017-12-19.
[75] 王自強,周宇星,張春,王志華,李福樂. 一種採用數字電路補償電容的低壓差線性穩壓器: 中國, 201611105561.3[P]. 2017-12-19.
[76] 呂方旭,王自強,張春,王志華,李福樂. 一種高速低功耗PAM4發射機: 中國, 201611104172.9[P]. 2018-11-20.
[77] 池保勇,孟祥雨,王志華 . 一種集成片上天線的太赫茲主動成像收發機: 中國, 201610809412.9[P]. 2019-05-31.
[78] 尹說,姜漢鈞,楊聞笛,張春,王志華. 一種面向腸鳴音信號監護系統的信號處理方法: 中國, 201610371549.0[P]. 2018-10-23.
[79] 烏力吉,王義,張向民,吳行軍,賈雯,王志華. 微控制器及其控制方法: 中國, 201610320452.7[P]. 2019-02-01.
[80] 李宇根,李小勇,賈雯,王志華. 調製器及其延時自動校準電路及延時控制模組: 中國, 201610058072.0[P]. 2018-10-09.
[81] 唐仙,張春,王志華. 一種電阻型溫度感測晶片: 中國, 201511025460.0[P]. 2018-04-20.
[82] 唐仙,張春,王志華. (實用新型)一種環形振盪器: 中國, 201521062010.4[P]. 2016-05-04.
[83] 池保勇,俞小寶,魏蒙,況立雪,王志華. 一種用於高速通信的混合基帶系統: 中國, 201510943869.4[P]. 2019-01-04.
[84] 唐仙,張春,王志華. 一種環形振盪器: 中國, 201510946426.0[P]. 2018-06-19.
[85] 唐仙, 李福樂, 張春, 王志華. 電阻型溫度感測晶片的校準電路和校準方法: 中國, 201510213973.8[P]. 2017-11-28.
[86] 翁兆洋, 姜漢鈞, 董晶晶, 楊超, 李宇根, 王志華. 兩點調製發射機中高通通路數模轉換器的增益校準方法: 中國, 201510194064.4[P]. 2017-04-19.
[87] 董晶晶, 姜漢鈞, 翁兆洋, 鄭婧怡, 張春, 王志華. 一種接收機快速自動增益控制系統及控制方法: 中國, 201510193923.8[P]. 2017-10-17.
[88] 黃柯, 王自強, 鄭旭強, 張春, 王志華. 一種新型的高速串列接口發射機: 中國, 201410641518.3[P]. 2017-07-07.
[89] 楊聞笛, 姜漢鈞, 翁兆洋, 張春, 陳虹, 王志華. 腸鳴音監測識別系統: 中國, 201410559667.5[P]. 2017-09-22.
[90] Xiang Xie, Yi Zheng, Guolin Li, Wei Song, Zhong Lv, Lifei Ren, Yiqiao Liao, Zhihua Wang. System and method for human computer interaction: 美國, US9288373 B2[P]. 2016-03-15.
[91] 黃柯, 王自強, 鄭旭強, 張春, 王志華. 一種新型的高速串列接口發射機: 中國, 201410475671.3[P]. 2016-03-30.
[92] 池保勇, 韓思揚, 張澤宏, 王志華. 一種壓控振盪器: 中國, 201410422109.4[P]. 2017-09-22.
[93] 朱曉鑫, 周瑩, 謝翔, 王丹, 李國林, 唐維俊, 王志華. 一種點雲分割方法及裝置: 中國, 201410410469.2[P]. 2017-09-08.
[94] 李福樂, 張春, 王志華. 帶有高頻補償的模擬電壓緩衝器電路: 中國, 201410359994.6[P]. 2017-09-22.
[95] Woogeun Rhee, Xican Chen, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Receiver, method of operating the receiver, and beamforming radar system including receiver: 美國, US9791561 B2[P]. 2017-10-17.
[96] 池保勇, 魏蒙, 張澤宏, 王志華. 一種多模可配置Class AB功率放大器: 中國, 201410334684.9[P]. 2017-06-30.
[97] 謝翔, 李國林, 蔡西蕾, 宋瑋, 鄭毅, 呂眾, 任力飛, 王志華. 一種指尖觸碰檢測系統及方法: 中國, 201410175698.0[P]. 2016-09-21.
[98] 廖一橋, 宋瑋, 謝翔, 李國林, 鄭毅, 王志華. 一種高精度的投影儀-攝像機標定系統及標定方法: 中國, 201410164584.6[P]. 2017-04-12.
[99] 黃亞東, 李國林, 謝翔, 李歡歡, 王志華. 一種單層螺線管寄生電容的計算方法及系統: 中國, 201410150934.3[P]. 2016-11-23.
[100] 謝翔, 黃亞東, 李國林, 谷熒柯, 李歡歡, 王志華. 一種能量發射端及無線能量傳輸方法: 中國, 201410150931.X[P]. 2016-05-18.
[101] 謝翔, 鄭毅, 李國林, 宋瑋, 呂眾, 任力飛, 廖一橋, 王志華. 人機互動系統及方法: 中國, 201410062551.0[P]. 2017-02-08.
[102] 宋哲, 姜漢鈞, 林慶良, 賈雯, 王志華. 基礎體溫檢測裝置以及基礎體溫的檢測方法: 中國, 201410059920.0[P]. 2016-01-13.
[103] 陳虹, 蘇少傑, 高繼揚, 王志華. 全髖關節置換術中髖關節內部實時可視輔助定位系統: 中國, 201410007929.7[P]. 2015-09-30.
[104] 鄭旭強, 黃柯, 李福樂, 王自強, 張春, 王志華. 源同步高速串列接口的時鐘通路前端放大電路: 中國, 201310629540.1[P]. 2016-08-17.
[105] 王志華, 陳霏, 李宇根. 助聽裝置: 中國, 201310625422.3[P]. 2016-08-17.
[106] 池保勇, 陳磊, 況立雪, 賈海昆, 王志華. 一種毫米波鎖相環: 中國, 201310508729.5[P]. 2016-02-10.
[107] 袁帥, 王自強, 鄭旭強, 烏力吉, 張春, 王志華. 用於高速串列接口接收端的1/4速率4抽頭判決反饋均衡器: 中國, 201310483312.8[P]. 2016-05-18.
[108] 池保勇, 殷韻, 于謙, 王志華. 可配置無線發射機: 中國, 201310427108.4[P]. 2015-10-21.
[109] Shuli Geng, Woogeun Rhee, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Apparatus and method for generating gaussian pulse and ultra wideband communication apparatus for generating gaussian pulse: 美國, US9036679 B2[P]. 2015-05-19.
[110] 李宇根, 陳菲, 金鐘珍, 金東郁, 王志華. 用於超寬頻通信的設備和方法: 中國, 201310354498.7[P]. 2017-12-01.
[111] 耿樹理, 李宇根, 金鐘珍, 金東郁, 王志華. 用於產生高斯脈衝的設備和方法: 中國, 201310353879.3[P]. 2017-12-08.
[112] Woogeun Rhee, Fei Chen, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Apparatus and method for ultra wideband communication using dual band pass filter: 美國, US9172425 B2[P]. 2015-10-27.
[113] 謝翔, 任力飛, 李昂, 韓衍雋, 李國林, 胡軍, 呂眾, 宋瑋, 鄭毅, 王志華. 基於自適應分層結構光的觸碰檢測系統及方法: 中國, 201310314534.7[P]. 2016-04-20.
[114] 池保勇, 況立雪, 俞小寶, 陳磊, 朱偉, 魏蒙, 宋政, 王志華. 一種無線高速短距離通信晶片: 中國, 201310311631.0[P]. 2016-07-06.
[115] 池保勇, 張欣旺, 夏兆康, 劉冰喬, 于謙, 續陽, 張澤宏, 韓思揚, 劉瓊冰, 王志華. 軟體無線電接收機電路: 中國, 201310289188.1[P]. 2017-04-12.
[116] 池保勇, 劉冰喬, 張欣旺, 王志華. 功率檢測電路: 中國, 201310286996.2[P]. 2016-12-28.
[117] 池保勇, 張欣旺, 王志華. 基於雙重反饋結構的AB類輸出級的運算放大器: 中國, 201310284797.8[P]. 2017-05-24.
[118] 李福樂, 許麗麗, 張春, 王志華. 模數轉換器前端電路: 中國, 201310217847.0[P]. 2016-06-01.
[119] 池保勇, 況立雪, 賈海昆, 賈雯, 王志華. 無線通信收發機前端: 中國, 201310215615.1[P]. 2015-09-23.
[120] 任仡奕, 呂俊宏, 王偉, 周瑩, 謝翔, 李國林, 王志華. 一種低複雜度的手勢提取和手勢深度獲取方法: 中國, 201310214597.5[P]. 2016-02-24.
[121] 胡軍, 李昂, 韓衍雋, 李國林, 謝翔, 呂眾, 宋瑋, 任力飛, 鄭毅, 王志華. 投影式人機互動系統及觸控識別方法: 中國, 201310210406.8[P]. 2016-02-24.
[122] 任仡奕, 周瑩, 呂俊宏, 王偉, 謝翔, 李國林, 王志華. 3D獲取系統的校準方法: 中國, 201310201810.9[P]. 2016-05-18.
[123] 周瑩, 任仡奕, 呂俊宏, 王偉, 謝翔, 李國林, 王志華. 一種有序提取地面的點雲分割方法: 中國, 201310185363.2[P]. 2016-04-20.
[124] 李福樂, 張春, 王志華. 一種流水線結構模數轉換器的前端電路及其時序控制方法: 中國, 201310146910.6[P]. 2017-03-15.
[125] 池保勇, 賈海昆, 賈雯, 王志華. 差分傳輸裝置及包括所述差分傳輸裝置的放大器: 中國, 201310104257.7[P]. 2017-03-15.
[126] Woogeun Rhee, Bo Zhou, Jong-Jin Kim, Dong-Wook Kim, Zhihua Wang. Transmitter and receiver for reducing power consumption in fm-uwb communication system: 美國, US9253730 B2[P]. 2016-02-02.
[127] 李宇根, 周波, 金鐘珍, 金東郁, 王志華. FM-UWB通信系統中的用於降低功耗的發射機和接收機: 中國, 201310088632.3[P]. 2017-06-09.
[128] 谷熒柯, 謝翔, 李國林, 孫天佳, 王志華. 面向生物體腔內圖像採集的圖像壓縮方法: 中國, 201310027218.1[P]. 2016-02-24.
[129] 姜琿, 王自強, 張春, 姜漢鈞, 陳虹, 王志華. 一種預放大器通過控制幅度的失調校正方法: 中國, 201210569383.5[P]. 2015-09-02.
[130] 姜琿, 王自強, 張春, 姜漢鈞, 陳虹, 王志華. 一種預放大器通過控制延時的失調校正方法: 中國, 201210569537.0[P]. 2015-08-19.
[131] 姜琿, 王自強, 張春, 麥宋平, 陳虹, 姜漢鈞, 王志華. 減小斬波放大器輸出紋波的電路、測量裝置及信號測量方法: 中國, 201210562798.X[P]. 2015-08-19.
[132] 徐冠南, 賈晨, 王自強, 鄭旭強, 張春, 姜漢鈞, 陳虹, 王志華. 一種採用數字滑模變結構控制的Buck型開關電源轉換器: 中國, 201210500397.1[P]. 2014-12-10.
[133] 胡世傑, 王自強, 黃柯, 鄭旭強, 李福樂, 馬軒, 俞坤治, 張春, 王志華. 高速時鐘數據恢復電路中的時鐘相位判斷電路和判斷方法: 中國, 201210478209.X[P]. 2015-10-14.
[134] 董晶晶, 張凌煒, 姜漢鈞, 池保勇, 李福樂, 張春, 王志華. 一種可重構多頻段收發機射頻前端: 中國, 201210394086.1[P]. 2014-07-23.
[135] 池保勇, 賈海昆, 王志華. 功率合成型功率放大器及其套用: 中國, 201210392867.7[P]. 2015-10-28.
[136] 池保勇, 賈海昆, 況立雪, 王志華. 毫米波FMCW兩單元相控陣測距測速單片雷達收發機: 中國, 201210393627.9[P]. 2014-06-04.
[137] 陳虹, 王志華, 周一新, 蘇少傑, 孫天佳, 高繼揚, 姜漢鈞. 髖關節置換術中髖臼及股骨頭姿態實時獲取方法與系統: 世界, WO2013170573 A1[P].
[138] Hong Chen, Zhihua Wang, Yixin Zhou, Shaojie Su, Tianjia Sun, Jiyang Gao, Hanjun Jiang. Method and system for acquiring attitude of acetabulum and femoral head in real time during hip joint replacement procedure : 美國, US10034779B2[P]. 2018-07-31.
[139] 楊開, 姜漢鈞, 張春, 王志華. 胎心監測設備: 中國, 201210370905.9[P]. 2016-01-13.
[140] 張春, 彭琪, 李佐昭, 王志華. 一種基於時間差測量的天線切換式射頻定位方法: 中國, 201210369872.6[P]. 2014-12-31.
[141] 李福樂, 李瑋韜, 楊昌宜, 王志華. 一種參考電壓的驅動電路: 中國, 201210362016.8[P]. 2016-07-20.
[142] 陳虹, 王志華, 周一新, 蘇少傑, 孫天佳, 高繼揚, 姜漢鈞. 新型的髖置換術中獲取髖臼及股骨頭姿態的方法與系統: 中國, 201210351910.5[P]. 2015-01-14.
[143] 麥宋平, 趙益新, 李小虎, 張春, 王志華. 植入神經刺激器的電流脈衝電路: 中國, 201210192335.9[P]. 2014-12-10.
[144] 麥宋平, 趙益新, 劉靈華, 張春, 王志華. 一種用於控制植入式醫療器械的通信系統及方法: 中國, 201210161409.2[P]. 2015-08-19.
[145] 王志華, 陳虹, 孫天佳, 周一新, 唐杞衡, 劉鳴, 蘇少傑. 人工髖關節置換術中髖臼及股骨頭姿態獲取方法與系統: 中國, 201210148587.1[P]. 2014-11-26.
[146] 麥宋平, 趙益新, 李小虎, 張春, 王志華. 一種OOK信號接收電路: 中國, 201210119786.X[P]. 2014-05-14.
[147] 麥宋平, 趙益新, 李小虎, 張春, 王志華. 一種萬向臂平台: 中國, 201210119794.4[P]. 2014-03-19.
[148] 童凱, 李國林, 謝翔, 谷熒科, 趙凱, 劉守浩, 王志華. 一種數據壓縮方法: 中國, 201110376355.7[P]. 2013-08-07.
[149] 胡軍, 李國林, 謝翔, 劉金, 王志華. 基於投影螢幕的多點觸控檢測方法及多點觸控系統: 中國, 201110353512.2[P]. 2014-06-04.
[150] Woogeun Rhee, He Rui, Xueyi Yu, Tae-Young Oh, Joo-Sun Choi, Zhihua Wang. Phase-locked-loop circuit including digitally-controlled oscillator: 美國, US8368440 B2[P]. 2013-02-05.
[151] 曹華敏, 劉鳴, 陳虹, 鄭翔, 王聰, 王志華, 高志強. 一種具有讀寫分離的雙連線埠SRAM單元6T結構: 中國, 201110282766.X[P]. 2013-12-04.
[152] 張春, 賀宇薈, 葉朝君, 王志華. 一種嵌入晶片的珍珠及其辨識方法: 中國, 201110279652.X[P]. 2014-01-01.
[153] 張春, 彭琪, 李少勛, 孫旭光, 王自強, 王志華. 一種集成RFID功能的手機: 中國, 201110207900.X[P]. 2013-06-12.
[154] 劉鳴, 陳虹, 鄭翔, 曹華敏, 高志強, 王志華. 採用分級位線和兩級靈敏放大器的SRAM電路裝置: 中國, 201110205980.5[P]. 2013-09-04.
[155] 池保勇, 張欣旺, 曹萌, 孫志剛, 符令, 殷韻, 夏兆康, 馮紅星, 張星, 王志華. 一種軟體無線電收發機射頻前端電路: 中國, 201110187783.5[P]. 2013-10-16.
[156] 池保勇, 孟祥雨, 張春, 王志華. 一種改進的恆虛警方法: 中國, 201110175858.8[P]. 2013-05-22.
[157] 池保勇, 祁楠, 徐陽, 俞小寶, 敘陽, 張星, 王志華. 一種接收機射頻前端裝置及其接收信號方法: 中國, 201110166752.1[P]. 2014-06-18.
[158] 池保勇, 徐陽, 俞小寶, 祁楠, 王志華. 可配置片上有源RC濾波裝置: 中國, 201110101153.1[P]. 2014-04-16.
[159] 麥宋平, 張春, 王志華. 一種人工耳蝸系統: 中國, 201110100936.8[P]. 2013-03-13.
[160] 張春, 賀宇薈, 趙西金, 王志華. 一種具有信息標識的晶片及其製備方法: 中國, 201110086856.1[P]. 2013-09-04.
[161] 池保勇, 徐陽, 祁楠, 王志華. 正交下變頻接收機I、Q通道信號失配校準裝置: 中國, 201110076268.X[P]. 2014-01-01.
[162] 孫天佳, 謝翔, 李國林, 谷熒柯, 王自強, 張春, 王志華. 無線生物體腔內圖像採集系統及方法: 中國, 201110026159.7[P]. 2015-10-28.
[163] 謝翔, 李國林, 鄧仰東, 谷熒柯, 孫天佳, 王丹, 胡軍, 王志華. 攜帶型無線作業系統及方法: 中國, 201010584075.0[P]. 2012-11-14.
[164] Woogeun Rhee, Xueyi Yu, Sung Cheol Shin, Zhihua Wang. Delay locked loop using hybrid FIR filtering technique and semiconductor memory device having the same: 美國, US8310886 B2[P]. 2012-11-13.
[165] 孫天佳, 謝翔, 李國林, 谷熒柯, 王自強, 張春, 王志華. 無線能量傳輸系統及方法: 中國, 201010189260.X[P]. 2012-06-20.
[166] 谷熒柯, 李國林, 謝翔, 孫天佳, 胡軍, 王志華. 用於生物體腔內的多視角圖像採集與存儲系統和方法: 中國, 201010189438.0[P]. 2012-09-05.
[167] Woogeun Rhee, Xueyi Yu, Joon-Young Park, Zhihua Wang. Delay locked loop and method and electronic device including the same: 美國, US8295106 B2[P]. 2012-10-23.
[168] 王自強, 謝翔, 張春, 谷熒柯, 孫天佳, 王志華. 利用電磁波相位差的人體內視鏡膠囊定位方法及其系統: 中國, 201010126279.X[P]. 2013-06-05.
[169] 陳虹, 劉鳴, 張春, 唐競, 周一新, 王志華. 一種人工膝關節置換術壓力平衡測量系統: 中國, 201010034328.7[P]. 2013-10-02.
[170] Woogeun Rhee, Xueyi Yu, Yuanfeng Sun, Sang-Soo Ko, Byeong-Ha Park, Hyung-Ki Ahn, Woo-Seung Choo, Zhihua Wang. Frequency divider, frequency synthesizer and application circuit: 美國, US8446190 B2[P]. 2013-05-21.
[171] 張春, 王敬超, 王志華. 採用反向散射調製技術的射頻識別系統中防偵聽的方法: 中國, 200810222929.3[P]. 2011-11-09.
[172] 姜漢鈞, 張曉昱, 張凌偉, 謝翔, 張春, 王志華, 王紅梅. 一種無線開關裝置、系統及其通信控制方法: 中國, 200910164892.8[P]. 2011-12-07.
[173] 姜漢鈞, 張曉昱, 張凌偉, 謝翔, 張春, 王志華, 王紅梅. 一種無線開關裝置、系統及其通信控制方法: 中國, 200810084655.6[P]. 2010-02-03.
[174] 祁楠, 謝翔, 李國林, 姜漢鈞, 王志華, 王紅梅. 生物體腔內全視角採集系統: 中國, 200810055863.3[P]. 2011-12-28.
[175] 謝翔, 姜漢鈞, 王紅梅, 李國林, 王志華. 一種無線生物體腔內圖像採集系統及裝置: 中國, 200710177086.5[P]. 2010-06-02.
[176] 謝翔, 李國林, 喻學藝, 王志華. 雙向數字無線內窺鏡膠囊用的積體電路系統: 中國, 200610012011.7[P]. 2008-07-23.
[177] 陳虹, 王志華. 植入關節內壓電陶瓷間歇供電裝置: 中國, 200510130630.1[P]. 2008-07-23.
[178] 陳虹, 王志華. 生物體植入關節雙向數字無線壓力監視系統: 中國, 200510130629.9[P]. 2008-08-20.
[179] 謝翔, 李國林, 喻學藝, 王志華. 無線內窺鏡系統高碼率超短距離的自動請求重發通信方法: 中國, 200510130628.4[P]. 2008-12-03.
[180] 謝翔, 李國林, 李曉雯, 王志華. 無線內窺鏡系統的準無損圖像壓縮和解壓縮方法: 中國, 200510126255.3[P]. 2008-06-18.
[181] 王志華, 謝翔, 張春, 張利. 雙向數字式無線內窺鏡系統: 中國, 03109810.X[P]. 2005-05-04.
所出版的專著:
[1] 李瑋韜,李福樂,王志華. High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications. New York: Springer-Verlag New York Inc., 2017.
[2] 王志華,姜漢鈞,陳虹. CMOS IC Design for Wireless Medical and Health Care. New York: Springer-Verlag New York Inc., 2014.
[3] 譚年熊,李冬梅,王志華. Ultra-Low Power Integrated Circuit Design - Circuits, Systems, and Applications. New York: Springer-Verlag New York Inc., 2014.
[4] 孫天佳,謝翔,王志華. Wireless Power Transfer for Medical Microsystems. New York: Springer-Verlag New York Inc., 2013.
[5] 謝翔,王自強,姜漢鈞,王志華 . 膠囊內窺鏡系統原理與臨床套用. 北京: 科學出版社, 2010.
[6] 董在望,李冬梅,王志華,李永明. 高等模擬積體電路. 北京: 清華大學出版社, 2006.
[7] 王志華,鄧仰東. 數字集成系統的結構化設計與高層次綜合. 北京: 清華大學出版社, 2000.
[8] 《中國積體電路大全》編委會編著(周祖成,王志華). 中國積體電路大全:專用積體電路和集成系統自動化設計方法. 北京: 國防工業出版社出版, 1997.
[9] 汪蕙,王志華. 電子電路的計算機輔助分析與設計方法. 北京: 清華大學出版社, 1996.
[10] (美)Amir M.Sodagar. 雙極型與CMOS放大器分析. 王志華,李冬梅,楊東,譯. 北京: 科學出版社, 2009.
[11] (美)W.Alan Davis, (美)Krishna K.Agarwal. 射頻電路設計. 李福樂 等 (王志華 審校),譯. 北京: 機械工業出版社, 2005.
[12] (加拿大)Robert K.Dueck. 數字系統設計——CPLD套用與VHDL編程. 張春 等 (王志華 主審),譯. 北京: 清華大學出版社, 2005.
[13] 蘇少傑,陳虹,姜漢鈞,王志華. Chapter 13: A Low-Power Vision- and IMU-Based System for the Intraoperative Prosthesis Pose Estimation of Total Hip Replacement Surgeries. 所在書籍: Low Power Semiconductor Devices and Processes for Emerging Applications in Communications, Computing, and Sensing. USA: CRC Press, 2018.
[14] 徐妮,李宇根,王志華. Chapter 17: Hybrid Phase Modulators with Enhanced Linearity. 所在書籍: Wireless Transceiver Circuits System Perspectives and Design Aspects. Boca Raton: CRC Press, 2015.
[15] 喻學藝,李宇根,王志華. Chapter 12: Delta-Sigma Fractional-N Phase-Locked Loop. 所在書籍: CMOS Nanoelectronics: Analog and RF VLSI Circuits. USA: McGraw Hill Publishers, 2011.
[16] 王志華,謝翔,陳新凱,李曉雯. Chapter 4: Design Considerations of Low Power Digital Integrated Systems for the Implantable Medical Application. 所在書籍: CMOS Biomicrosystems: Where Electronics Meet Biology. USA: CRC Press, 2011.

相關詞條

熱門詞條

聯絡我們